From WikiChip
Difference between revisions of "350 nm lithography process"

(Industry)
Line 48: Line 48:
  
 
== 350 nm Microprocessors==
 
== 350 nm Microprocessors==
 +
* Intel
 +
** {{intel|pentium (1992)|Pentium}}
 +
** {{intel|Pentium MMX}}
 +
** {{intel|Pentium OverDrive MMX}}
 +
** {{intel|Pentium II}}
 +
** {{intel|Mobile Pentium II}}
 +
 
{{expand list}}
 
{{expand list}}
  
 
== 350 nm Microarchitectures ==
 
== 350 nm Microarchitectures ==
 
{{expand list}}
 
{{expand list}}

Revision as of 21:04, 24 April 2016

The 350 nm lithography process is a full node semiconductor manufacturing process following the 500 nm process node. Commercial integrated circuit manufacturing using 350 nm process began in late 1995. 350 nm was phased out and replaced by 250 nm in 1999.

Industry

Fab
Process Name​
1st Production​
 ​
Contacted Gate Pitch​
Interconnect Pitch (M1P)​
SRAM bit cell
Intel IBM
P854
1994 1994
Value Value
550 nm  ? nm
880 nm  ? nm
18.1 µm2  ? µm2

Design Rules

350 nm Microprocessors

This list is incomplete; you can help by expanding it.

350 nm Microarchitectures

This list is incomplete; you can help by expanding it.