From WikiChip
130 nm lithography process

The 130 nanometer (130 nm) lithography process is a full node semiconductor manufacturing process following the 150 nm process stopgap. Commercial integrated circuit manufacturing using 130 nm process began in 2001. This technology was replaced by with 110 nm process (HN) in 2003 and 90 nm process (FN) in 2004.

Industry[edit]

Fab
Process Name​
1st Production​
Type​
Wafer​
Metal Layers​
 ​
Contacted Gate Pitch​
Interconnect Pitch (M1P)​
SRAM bit cell (HP)​​
SRAM bit cell (HD)​
Intel TSMC Samsung Fujitsu IBM / Infineon / UMC Motorola AMD NEC NEC TI TI
P860 CS-91 CMOS 9S HiPerMOS 7
2001 2001 2001 2002 2001 2001 2002 2001 2001 2001
Bulk PDSOI Bulk
200 mm
6 8 8 5 7 6 7
Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ
319 nm 0.66x 310 nm 0.72x 350 nm  ?x  ? nm  ?x 320 nm 0.76x 350 nm  ?x 350 nm  ?x  ?nm  ?x  ?nm  ?x  ?nm  ?x  ?nm  ?x
345 nm 0.69x 340 nm 0.74x 350 nm  ?x  ? nm  ?x 320 nm 0.73x 350 nm  ?x 350 nm  ?x  ?nm  ?x  ?nm  ?x  ?nm  ?x  ?nm  ?x
2.45 µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x
2.09 µm² 0.36x 2.14 µm² 0.46x  ? µm²  ?x 1.98 µm² 0.47x 1.8 µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x

Design Rules[edit]

130 nm Microprocessors[edit]

This list is incomplete; you can help by expanding it.

130 nm programmable logic devices[edit]

This list is incomplete; you can help by expanding it.

130 nm Microarchitectures[edit]

This list is incomplete; you can help by expanding it.

References[edit]

  • Tyagi, Sunit, et al. "A 130 nm generation logic technology featuring 70 nm transistors, dual Vt transistors and 6 layers of Cu interconnects." Electron Devices Meeting, 2000. IEDM'00. Technical Digest. International. IEEE, 2000.