From WikiChip
Difference between revisions of "22 nm lithography process"

m
(Industry)
Line 3: Line 3:
  
 
== Industry ==
 
== Industry ==
 +
The 22 nm became Intel's first generation of Tri-gate [[FinFET]] transistors and the first such transistor on the market. This process became 3rd generation high-k + metal gate transistors for Intel. Those transistors were not used in IBM's process
  
=== Intel ===
+
{{scrolling table/top|style=text-align: right; | first=Fab
22 nm was Intel's first generation of Tri-gate [[FinFET]] transistors.
+
| 
{| class="wikitable"
+
|Fin Pitch
 +
|Fin Width
 +
|Fin Height
 +
|Contacted Gate Pitch
 +
|Interconnect Pitch (M1P)
 +
|SRAM bit cell (HP)
 +
|SRAM bit cell (HD)
 +
}}
 +
{{scrolling table/mid}}
 
|-
 
|-
| || Measurement || Scaling from [[32 nm]]
+
! colspan="2" | [[Intel]] !! colspan="2" | Common Platform
 
|-
 
|-
| Fin Pitch || 60 nm ||
+
! Value !! [[32 nm]] Δ !! Value !! [[32 nm]] Δ
 
|-
 
|-
| Contacted Gate Pitch || 90 nm || 0.80x
+
| 60 nm || colspan="3" rowspan="3" style="text-align: center;" | N/A
 
|-
 
|-
| Interconnect Pitch (M1P) || 80 nm || 0.71x
+
| 32 nm
 
|-
 
|-
| [[SRAM]] bit cell || 0.1080 µm<sup>2</sup> || High Performance
+
| 8 nm
 +
|-
 +
| 90 nm || 0.80x || 100 nm || 0.79x
 +
|-
 +
| 80 nm || 0.71x || 80 nm || ?x
 +
|-
 +
| 0.1080 µm<sup>2</sup> || 0.63x || 0.1 µm<sup>2</sup>  || ?x
 +
|-
 +
| 0.092 µm<sup>2</sup> || ?x
 +
{{scrolling table/end}}
 +
=== Design Rules ===
 +
{| class="wikitable collapsible collapsed"
 
|-
 
|-
| [[SRAM]] bit cell || 0.092 µm<sup>2</sup> || High Density
 
|}
 
 
{| class="wikitable"
 
 
! colspan="6" | SoC Interconnect Design Rules
 
! colspan="6" | SoC Interconnect Design Rules
 
|-
 
|-
Line 43: Line 59:
 
|-
 
|-
 
| MT - TOP || 14 µm || Plate Up || Polymer || M9 || Top Metal
 
| MT - TOP || 14 µm || Plate Up || Polymer || M9 || Top Metal
|}
 
 
=== IBM ===
 
{| class="wikitable"
 
|-
 
| || Measurement
 
|-
 
| Contacted Gate Pitch || 100 nm
 
|-
 
| Interconnect Pitch (M1P) || 80 nm
 
|-
 
| [[SRAM]] bit cell || ? µm<sup>2</sup>
 
 
|}
 
|}
  

Revision as of 02:31, 24 April 2016

The 22 nm lithography process is a full node semiconductor manufacturing process following the 28 nm process stopgap. Commercial integrated circuit manufacturing using 22 nm process began in 2008 for memory and 2012 for MPUs. This technology was replaced by with 20 nm process (HN) in 2014 and 16 nm process (FN) in late 2015.

Industry

The 22 nm became Intel's first generation of Tri-gate FinFET transistors and the first such transistor on the market. This process became 3rd generation high-k + metal gate transistors for Intel. Those transistors were not used in IBM's process

Fab
 ​
Fin Pitch​
Fin Width​
Fin Height​
Contacted Gate Pitch​
Interconnect Pitch (M1P)​
SRAM bit cell (HP)​
SRAM bit cell (HD)
Intel Common Platform
Value 32 nm Δ Value 32 nm Δ
60 nm N/A
32 nm
8 nm
90 nm 0.80x 100 nm 0.79x
80 nm 0.71x 80 nm  ?x
0.1080 µm2 0.63x 0.1 µm2  ?x
0.092 µm2  ?x

Design Rules

22 nm Microprocessors

This list is incomplete; you can help by expanding it.

22 nm System on Chips

This list is incomplete; you can help by expanding it.

22 nm Microarchitectures

This list is incomplete; you can help by expanding it.