From WikiChip
Difference between revisions of "14 nm lithography process"

(Industry)
Line 64: Line 64:
 
  | process 2 sram hp      = 0.0806 µm²
 
  | process 2 sram hp      = 0.0806 µm²
 
  | process 2 sram hp Δ    =  
 
  | process 2 sram hp Δ    =  
  | process 2 sram hd      = 0.064 µm²
+
  | process 2 sram hd      = 0.0640 µm²
 
  | process 2 sram hd Δ    =  
 
  | process 2 sram hd Δ    =  
 
  | process 2 sram lv      =  
 
  | process 2 sram lv      =  
Line 96: Line 96:
 
  | process 3 sram hp      = 0.0806 µm²
 
  | process 3 sram hp      = 0.0806 µm²
 
  | process 3 sram hp Δ    =  
 
  | process 3 sram hp Δ    =  
  | process 3 sram hd      = 0.064 µm²
+
  | process 3 sram hd      = 0.0640 µm²
 
  | process 3 sram hd Δ    =  
 
  | process 3 sram hd Δ    =  
 
  | process 3 sram lv      =  
 
  | process 3 sram lv      =  
Line 126: Line 126:
 
  | process 4 mmp          = 64 nm
 
  | process 4 mmp          = 64 nm
 
  | process 4 mmp Δ        = 0.80x
 
  | process 4 mmp Δ        = 0.80x
  | process 4 sram hp      = 0.900 µm²
+
  | process 4 sram hp      = 0.9000 µm²
 
  | process 4 sram hp Δ    =  
 
  | process 4 sram hp Δ    =  
  | process 4 sram hd      = 0.081 µm²
+
  | process 4 sram hd      = 0.0810 µm²
 
  | process 4 sram hd Δ    = 0.81x
 
  | process 4 sram hd Δ    = 0.81x
 
  | process 4 sram lv      =  
 
  | process 4 sram lv      =  

Revision as of 20:56, 5 April 2017

The 14 nanometer (14 nm) lithography process is a half-node semiconductor manufacturing process used as a stopgap between the 16 nm and 10 nm processes. The term "14 nm" is simply a commercial name for a generation of a certain size and its technology, as opposed to gate length or half pitch. Commercial integrated circuit manufacturing using 14 nm process began in 2014. This technology is set to be replaced with 10 nm process in 2017.

Industry

14 nm became Intel's 2nd generation finFET transistors. This process became Samsungs' and GlobalFoundries first generation of finFet-based transistors. Intel uses TiN pMOS / TiAlN nMOS while Samsung uses TiN pMOS / TiAIC nMOS as work function metals. Intel makes use of Self-Aligned Double Patterning (SADP) with 193 nm immersion lithography at critical patterning layers.


 
Process Name
1st Production
Lithography Lithography
Immersion
Exposure
Wafer Type
Size
Transistor Type
Voltage
 
Fin Pitch
Width
Height
Gate Length (Lg)
Contacted Gate Pitch (CPP)
Minimum Metal Pitch (MMP)
SRAM bitcell High-Perf (HP)
High-Density (HD)
Low-Voltage (LV)
DRAM bitcell eDRAM
Intel Samsung GlobalFoundries IBM UMC
P1272 (CPU) / P1273 (SoC) 14LPE
1st generation; 14 nm Low Power Early
, 14LPP
2nd generation; 14 nm Low Power Performance
, 14LPC
3rd generation; 14 nm Low Power Cost [reduced]
, 14LPU
4th generation; 14 nm Low Power Ultimate
14LPP
2nd generation; 14 nm Low Power Performance
   
2014        
193 nm        
Yes        
         
Bulk Bulk Bulk SOI  
300 mm 300 mm 300 mm 300 mm 300 mm
FinFET FinFET FinFET FinFET FinFET
0.7 V 0.8 V      
Value 22 nm Δ Value 20 nm Δ Value 20 nm Δ Value 22 nm Δ Value 28 nm Δ
42 nm 0.70x 48 nm N/A 48 nm N/A 42 nm N/A    
8 nm 1.00x 8 nm 8 nm 10 nm    
42 nm 1.24x ~38 nm ~38 nm 25 nm    
20 nm 0.77x 30 nm   30 nm          
70 nm 0.78x 78 nm 1.22x 78 nm 1.22x 80 nm 0.80x    
52 nm 0.65x 64 nm 1.00x 64 nm 1.00x 64 nm 0.80x    
0.0706 µm²   0.0806 µm²   0.0806 µm²   0.9000 µm²      
0.0499 µm²   0.0640 µm²   0.0640 µm²   0.0810 µm² 0.81x    
0.0588 µm²                  
            0.0174 µm² 0.67x    

Intel

intel 14nm gate.png

Find models

Click to browse all 14 nm MPU models

14 nm Microprocessors

This list is incomplete; you can help by expanding it.

14 nm Microarchitectures

This list is incomplete; you can help by expanding it.

Documents

References

  • Natarajan, S., et al. "A 14nm logic technology featuring 2 nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µm 2 SRAM cell size." Electron Devices Meeting (IEDM), 2014 IEEE International. IEEE, 2014.
  • Lin, C. H., et al. "High performance 14nm SOI FinFET CMOS technology with 0.0174 µm 2 embedded DRAM and 15 levels of Cu metallization." Electron Devices Meeting (IEDM), 2014 IEEE International. IEEE, 2014.
  • Jan, C-H., et al. "A 14 nm SoC platform technology featuring 2 nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um 2 SRAM cells, optimized for low power, high performance and high density SoC products." VLSI Technology (VLSI Technology), 2015 Symposium on. IEEE, 2015.