From WikiChip
Upload log
Below is a list of the most recent file uploads. See the gallery of new files for a more visual overview.
(newest | oldest) View (newer 500 | older 500) (20 | 50 | 100 | 250 | 500)- 15:31, 4 September 2020 QuietRub (talk | contribs) uploaded File:Socket FM2 diag.svg (Own work based on "Socket FM2 Design Specification", AMD Publ. #48639, Rev. 3.00, April 24, 2014.)
- 15:29, 4 September 2020 QuietRub (talk | contribs) uploaded File:OPGA-904 pinmap.svg
- 15:27, 4 September 2020 QuietRub (talk | contribs) uploaded File:OPGA-904 diag.svg (Own work based on "Socket FM2 Design Specification", AMD Publ. #48639, Rev. 3.00, April 24, 2014.)
- 15:17, 4 September 2020 QuietRub (talk | contribs) uploaded File:OPGA-906.svg (Own work.)
- 15:06, 4 September 2020 QuietRub (talk | contribs) uploaded File:Socket FM2b diag.svg (Own work based on "Socket FM2b Design Specification", AMD Publ. #52237, Rev. 3.00, April 24, 2014.)
- 15:04, 4 September 2020 QuietRub (talk | contribs) uploaded File:Socket FMx keying.svg (Own work based on "Socket FM1 Design Specification", AMD Publ. #47610, Rev. 3.00, August 2011; "Socket FM2 Design Specification", AMD Publ. #48639, Rev. 3.00, April 24, 2014; "Socket FM2b Design Specification", AMD Publ. #52237, Rev. 3.00, April 24, 2014.)
- 15:01, 4 September 2020 QuietRub (talk | contribs) uploaded File:OPGA-906 diag.svg (Own work based on "Socket FM2b Design Specification", AMD Publ. #52237, Rev. 3.00, April 24, 2014.)
- 08:59, 4 September 2020 QuietRub (talk | contribs) uploaded File:cpuid 1.svg
- 09:49, 27 July 2020 QuietRub (talk | contribs) uploaded File:Socket FM1 diag.svg (Own work based on "Socket FM1 Design Specification", AMD Publ. #47610, Rev. 3.00, August 2011.)
- 09:48, 27 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-905 pinmap.svg (Own work.)
- 09:47, 27 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-905 diag.svg (Own work based on "Socket FM1 Design Specification", AMD Publ. #47610, Rev. 3.00, August 2011.)
- 09:31, 27 July 2020 QuietRub (talk | contribs) uploaded File:CPGA-321.svg (Own work based on "AMD-K6 Processor Data Sheet", AMD Publ. #20695, Rev. H, March 1998.)
- 09:03, 27 July 2020 QuietRub (talk | contribs) uploaded File:Socket 7 diag.svg (Own work based on Elitalia 2.54MM ZIF Socket 321 Pin P/N 254ZJ-321SVxx.)
- 08:58, 27 July 2020 QuietRub (talk | contribs) uploaded File:CPGA-321 K6-2 pinmap.svg (Own work based on AMD K6 tech docs.)
- 08:54, 27 July 2020 QuietRub (talk | contribs) uploaded File:CPGA-321 MK6 diag.svg (Own work based on "Mobile AMD-K6 Processor Data Sheet", AMD Publ. #21049, Rev. H, September 1998)
- 08:53, 27 July 2020 QuietRub (talk | contribs) uploaded File:CPGA-321 K6-2 diag.svg (Own work based on "AMD-K6-2 Processor Data Sheet", AMD Publ. #21850, Rev. J, February 2000)
- 08:51, 27 July 2020 QuietRub (talk | contribs) uploaded File:CPGA-321 K6 diag.svg (Own work based on "AMD-K6 Processor Data Sheet", AMD Publ. #20695, Rev. H, March 1998.)
- 18:44, 25 July 2020 QuietRub (talk | contribs) uploaded File:OBGA-349.svg (Own work.)
- 18:29, 25 July 2020 QuietRub (talk | contribs) uploaded File:OBGA-349 pinmap.svg (Own work based on "AMD-K6-2E+ Embedded Processor Data Sheet", AMD Publ. #23542, Rev. A, September 2000)
- 18:28, 25 July 2020 QuietRub (talk | contribs) uploaded File:OBGA-349 diag.svg (Own work based on "AMD-K6-2E+ Embedded Processor Data Sheet", AMD Publ. #23542, Rev. A, September 2000)
- 17:43, 25 July 2020 QuietRub (talk | contribs) uploaded File:CBGA-360.svg (Own work.)
- 17:35, 25 July 2020 QuietRub (talk | contribs) uploaded File:CBGA-360 pinmap.svg (Own work based on "Mobile AMD-K6 Processor Data Sheet", AMD Publ. #21049, Rev. H, September, 1998)
- 17:34, 25 July 2020 QuietRub (talk | contribs) uploaded File:CBGA-360 diag.svg (Own work based on "Mobile AMD-K6 Processor Data Sheet", AMD Publ. #21049, Rev. H, September, 1998)
- 06:16, 22 July 2020 QuietRub (talk | contribs) uploaded File:BGA-413.svg (Own work.)
- 06:02, 22 July 2020 QuietRub (talk | contribs) uploaded File:BGA-413 pn.svg (Own work based on "FT1 Processor Functional Data Sheet", AMD Publ. #44444, Rev. 2.05, November 2010)
- 06:01, 22 July 2020 QuietRub (talk | contribs) uploaded File:BGA-413 pinmap.svg (Own work based on "FT1 Processor Functional Data Sheet", AMD Publ. #44444, Rev. 2.05, November 2010)
- 05:59, 22 July 2020 QuietRub (talk | contribs) uploaded File:BGA-413 diag.svg (Own work based on "FT1 Processor Functional Data Sheet", AMD Publ. #44444, Rev. 2.05, November 2010)
- 09:22, 21 July 2020 QuietRub (talk | contribs) uploaded File:Socket AM4 FIT diag.svg (Own work. Diagram of Foxconn Interconnect Technology Part No. PZ1331A-51ZZ*-1H since AMD documentation on socket AM4 is unavailable.)
- 09:17, 21 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-1331 pinmap.svg (Own work.)
- 09:16, 21 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-1331 diag.svg (Own work.)
- 16:55, 20 July 2020 QuietRub (talk | contribs) uploaded File:Socket TR4 pinmap.svg (Own work.)
- 16:47, 20 July 2020 QuietRub (talk | contribs) uploaded File:BGA-812 ASB2 pinmap.svg (Own work.)
- 16:46, 20 July 2020 QuietRub (talk | contribs) uploaded File:BGA-812 ASB2 30532 diag.svg (Own work.)
- 16:39, 20 July 2020 QuietRub (talk | contribs) uploaded File:BGA-812 pn.svg (Own work.)
- 16:38, 20 July 2020 QuietRub (talk | contribs) uploaded File:BGA-812 ASB1 pinmap.svg (Own work.)
- 16:37, 20 July 2020 QuietRub (talk | contribs) uploaded File:BGA-812 ASB1 diag.svg (Own work.)
- 16:18, 19 July 2020 QuietRub (talk | contribs) uploaded File:Socket SP3 pinmap.svg (Own work.)
- 15:58, 19 July 2020 QuietRub (talk | contribs) uploaded File:Socket AM3b diag.svg (Own work based on "Socket AM3b Design Specification", AMD Publ. #48988, Rev. 3.00, April 2014)
- 15:56, 19 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-31x31 keying.svg (Own work.)
- 15:55, 19 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-941 diag.svg (Own work based on "Socket AM3b Design Specification", AMD Publ. #48988, Rev. 3.00, April 2014)
- 11:58, 18 July 2020 QuietRub (talk | contribs) uploaded File:Socket AM3 pinmap.svg (Own work.)
- 11:56, 18 July 2020 QuietRub (talk | contribs) uploaded File:Socket AM3 diag.svg (Own work based on "Socket AM3 Design Specification", AMD Publ. #40523, Rev. 1.06, April 2010)
- 11:55, 18 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-940 AM3 diag.svg (Own work based on "Socket AM3 Design Specification", AMD Publ. #40523, Rev. 1.06, April 2010)
- 11:53, 18 July 2020 QuietRub (talk | contribs) uploaded File:OPGA-938 diag.svg (Own work based on "Socket AM3 Design Specification", AMD Publ. #40523, Rev. 1.06, April 2010)
- 23:41, 28 June 2020 David (talk | contribs) uploaded File:intel server roadmap (2020) with amx.png (Intel server roadmap (2020) with AMX.)
- 23:21, 28 June 2020 David (talk | contribs) uploaded File:amx architecture.svg ({{x86|AMX}} architecture.)
- 22:39, 28 June 2020 David (talk | contribs) uploaded File:amx dot product of tiles.svg ({{x86|AMX}} dot product of tiles.)
- 09:35, 20 June 2020 David (talk | contribs) uploaded a new version of File:cortex-m55 block diagram.svg
- 23:24, 30 May 2020 David (talk | contribs) uploaded File:n5 shuttle.jpg (TSMC N5 shuttle. TSMC, ISSCC 2020.)
- 22:58, 30 May 2020 David (talk | contribs) uploaded File:n16 vs n28 ppa.png (TSMC 16-nanometer process PPA vs [[N28|28-nanometer process]. TSMC, IEDM 2013.)
- 13:58, 30 May 2020 David (talk | contribs) uploaded File:N5 mx rc and vx rc.png (TSMC 5-nanometer Mx RC and Vx RC. TSMC, IEDM 2019.)
- 13:33, 30 May 2020 David (talk | contribs) uploaded File:n5-ppa.png (TSMC 5-nanometer PPA. TSMC, IEDM 2019.)
- 13:33, 30 May 2020 David (talk | contribs) uploaded File:n5-channel-stress.png (TSMC 5-nanometer channel stress. TSMC, IEDM 2019.)
- 13:33, 30 May 2020 David (talk | contribs) uploaded File:n5-hmc-fin.jpg (TSMC 5-nanometer high-mobility channel. TSMC, IEDM 2019.)
- 19:32, 24 May 2020 David (talk | contribs) uploaded File:arm cortex a77 trm.pdf (* Arm Cortex-A77 Core * Technical Reference Manual * Revision: r1p1)
- 19:32, 24 May 2020 David (talk | contribs) uploaded File:arm cortex a77 sog.pdf (* Arm Cortex-A77 Core * Software Optimization Guide * Revision: r1p1)
- 20:47, 17 May 2020 David (talk | contribs) uploaded File:jedec tray with intel pentium chips.jpg (JEDEC Tray with Intel Penitum chips.)
- 23:40, 10 May 2020 David (talk | contribs) uploaded File:ibm power9 die.jpg (IBM {{ibm|POWER9|l=arch}} die. Photo by WikiChip.)
- 23:17, 10 May 2020 David (talk | contribs) uploaded File:6x6 waffle pack.jpg (6 by 6 waffle pack populated by dies. Photo by WikiChip.)
- 17:15, 9 May 2020 David (talk | contribs) uploaded File:amd ryzen 9 logo.png (AMD Ryzen 9 logo.)
- 16:38, 9 May 2020 David (talk | contribs) uploaded File:renoir naming scheme.svg (AMD {{amd|Renoir|l=core}} naming scheme.)
- 10:57, 9 May 2020 David (talk | contribs) uploaded File:renoir die.png (AMD {{amd|Renoir|l=core}} based on the {{amd|Zen 2|l=arch}} microarchitecture and {{amd|Vega|l=arch}} GPU.)
- 22:50, 8 May 2020 David (talk | contribs) uploaded File:cha soc ncore 3.png (Centaur Technology {{centtech|CHA|l=arch}} SoC NCORE.)
- 22:48, 8 May 2020 David (talk | contribs) uploaded File:cha cns core die 2.png (Centaur Technology {{centtech|CHA|l=arch}} SoC CNS Core.)
- 22:47, 8 May 2020 David (talk | contribs) uploaded File:cha core group 2.png (Centaur Technology {{centtech|CHA|l=arch}} SoC Core Group.)
- 22:47, 8 May 2020 David (talk | contribs) uploaded File:centaur cha soc die (2).png (Centaur Technology {{centtech|CHA|l=arch}} SoC.)
- 22:47, 8 May 2020 David (talk | contribs) uploaded File:centaur cha soc die (2) annotated.png (Annotated version of the Centaur Technology {{centtech|CHA|l=arch}} SoC.)
- 12:34, 8 May 2020 David (talk | contribs) uploaded File:socket mb am4.png (AMDSocket AM4 by Asus, used with permission.)
- 12:08, 25 April 2020 David (talk | contribs) uploaded File:mtr cell diagram.svg (MTr/mm² description.)
- 07:10, 15 April 2020 CatMerc (talk | contribs) uploaded a new version of File:A13ThunderCore.png (Upscaled)
- 07:09, 15 April 2020 CatMerc (talk | contribs) uploaded a new version of File:A13LightningCore.png (Upscaled)
- 07:03, 15 April 2020 CatMerc (talk | contribs) uploaded File:A13ThunderCore.png
- 08:37, 13 April 2020 CatMerc (talk | contribs) uploaded File:A13LightningCore.png
- 07:36, 13 April 2020 CatMerc (talk | contribs) uploaded File:AppleA5.jpg
- 07:32, 13 April 2020 CatMerc (talk | contribs) uploaded File:AppleA8.png
- 10:33, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA6.png
- 10:07, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA13.png
- 10:02, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA12.jpg
- 09:54, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA11.jpg
- 09:26, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA9TSMC.png.png
- 09:20, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA9Samsung.png
- 09:07, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA4.jpg
- 08:47, 12 April 2020 CatMerc (talk | contribs) uploaded File:AppleA7.png
- 09:59, 6 April 2020 David (talk | contribs) uploaded File:845 die shot.png (Snapdragon 845 die shot by TechInsights. * Link [https://www.techinsights.com/blog/apple-iphone-11-pro-max-teardown Apple iPhone 11 Pro Max Teardown - TechInsights])
- 23:25, 28 March 2020 David (talk | contribs) uploaded File:lkf big vs small mt.jpg (Intel {{intel|Lakefield|l=arch}} big core vs small core in multi-thread perf.)
- 23:25, 28 March 2020 David (talk | contribs) uploaded File:lkf big vs small st.jpg (Intel {{intel|Lakefield|l=arch}} big core vs small core in single-thread perf.)
- 01:34, 19 March 2020 Bonusround (talk | contribs) uploaded File:A12Z.png
- 00:51, 9 March 2020 David (talk | contribs) uploaded File:intel mask.jpg (Intel mask by Intel.)
- 12:24, 8 March 2020 David (talk | contribs) uploaded a new version of File:tsmc cowos diagram.svg
- 01:13, 8 March 2020 David (talk | contribs) uploaded File:tsmc cowos diagram.svg (TSMC {{tsmc|CoWoS}} diagram by WikiChip.)
- 01:00, 8 March 2020 David (talk | contribs) uploaded File:cowos ex spring crest.jpg (TSMC {{tsmc|CoWoS}} example: Spring Crest. Photo by WikiChip.)
- 01:00, 8 March 2020 David (talk | contribs) uploaded File:cowos ex gaudi.jpg (TSMC {{tsmc|CoWoS}} example: Gaudi. Photo by WikiChip.)
- 00:51, 8 March 2020 David (talk | contribs) uploaded File:cowos ex a64fx.jpg (TSMC {{tsmc|CoWoS}} example: A64FX. Photo by WikiChip.)
- 00:50, 8 March 2020 David (talk | contribs) uploaded File:cowos ex sx-aurora.jpg (TSMC {{tsmc|CoWoS}} example: SX-Aurora. Photo by WikiChip.)
- 00:50, 8 March 2020 David (talk | contribs) uploaded File:cowos ex v100.jpg (TSMC {{tsmc|CoWoS}} example: V100. Photo by WikiChip.)
- 00:50, 8 March 2020 David (talk | contribs) uploaded File:cowos ex tofino2.jpg (TSMC {{tsmc|CoWoS}} example: Tofini 2. Photo by WikiChip.)
- 00:00, 8 March 2020 David (talk | contribs) uploaded File:tsmc cowos dtc.svg (TSMC {{tsmc|CoWoS}} iCAP diagram by WikiChip.)
- 00:00, 8 March 2020 David (talk | contribs) uploaded File:cowos icap sem.png (TSMC {{tsmc|CoWoS}} iCAP SEM by TSMC.)
- 23:56, 7 March 2020 David (talk | contribs) uploaded File:cowos mim.jpg (TSMC {{tsmc|CoWoS}} MiM SEM by TSMC.)
- 23:54, 7 March 2020 David (talk | contribs) uploaded File:tsmc cowos mim.svg (TSMC {{tsmc|CoWoS}} MiM diagram by WikiChip.)
- 23:15, 7 March 2020 David (talk | contribs) uploaded File:cowos roadmap.jpg (CoWoS roadmap. Photo by WikiChip.)
- 21:51, 7 March 2020 David (talk | contribs) uploaded File:dtc example.svg (An example of a deep trench capacitor by WikiChip.)
- 10:24, 7 March 2020 David (talk | contribs) uploaded File:mask v reticle.png (photomask photo by WikiChip.)
- 02:12, 2 March 2020 David (talk | contribs) uploaded File:tsarlet chiplet block.svg (CEA Leti {{cealeti|TSARLET|l=arch}} chiplet block diagram.)
- 20:10, 1 March 2020 David (talk | contribs) uploaded File:tsarlet package front.png (CEA Leti {{cealeti|TSARLET|l=arch}} package front.)
- 20:10, 1 March 2020 David (talk | contribs) uploaded File:tsarlet scvr unit cell.png (CEA Leti {{cealeti|TSARLET|l=arch}} SCVR unit cell.)
- 20:10, 1 March 2020 David (talk | contribs) uploaded File:tsarlet 3d plug ubumps.png (CEA Leti {{cealeti|TSARLET|l=arch}} 3D-Plug ubumps.)
- 20:10, 1 March 2020 David (talk | contribs) uploaded File:tsarlet 3d plug matrix.png (CEA Leti {{cealeti|TSARLET|l=arch}} 3D-Plug matrix.)
- 20:09, 1 March 2020 David (talk | contribs) uploaded File:tsarlet scvr unit cell circuit.png (CEA Leti {{cealeti|TSARLET|l=arch}} SCVR unit cell circuit.)
- 19:17, 1 March 2020 David (talk | contribs) uploaded File:tsarlet xsection.png (CEA-Leti {{cealeti|TSARLET|l=arch}} packaging.)
- 19:07, 1 March 2020 David (talk | contribs) uploaded File:tsarlet interposer with chiplet.png (CEA-Leti {{cealeti|TSARLET|l=arch}} packaging.)
- 13:16, 1 March 2020 David (talk | contribs) uploaded File:tsarlet interposer routing.png (CEA-Leti {{cealeti|TSARLET|l=arch}} interposer routing.)
- 16:05, 29 February 2020 David (talk | contribs) uploaded File:tsarlet overview.png (CEA Leti {{cealeti|tsarlet|l=arch}} overview.)
- 04:32, 29 February 2020 David (talk | contribs) uploaded File:tsarlet packaging photo.jpg (CEA Leti {{cealeti|TSARLET|l=arch}} packaging photo.)
- 04:19, 29 February 2020 David (talk | contribs) uploaded File:tsarlet package.png (CEA Leti {{cealeti|TSARLET|l=arch}} package.)
- 04:19, 29 February 2020 David (talk | contribs) uploaded File:tsarlet base interposer.png (CEA Leti {{cealeti|TSARLET|l=arch}} base interposer die.)
- 04:19, 29 February 2020 David (talk | contribs) uploaded File:tsarlet compute chiplet 2.png (CEA Leti {{cealeti|TSARLET|l=arch}} compute chiplet.)
- 03:41, 29 February 2020 David (talk | contribs) uploaded File:tsarlet compute chiplet (annotated).png (CEA Leti {{cealeti|TSARLET|l=arch}} chiplet die, annotated by WikiChip.)
- 03:21, 29 February 2020 David (talk | contribs) uploaded File:tsarlet compute chiplet.png (CEA Leti {{cealeti|TSARLET|l=arch}} chiplet die.)
- 20:42, 28 February 2020 David (talk | contribs) uploaded File:cea-leti logo.jpg (CEA-Leti logo.)
- 18:57, 22 February 2020 QuietRub (talk | contribs) uploaded File:Socket AM2+ pinmap.svg (Own work.)
- 18:29, 22 February 2020 QuietRub (talk | contribs) uploaded File:Socket AM2 pinmap.svg (Own work.)
- 18:26, 22 February 2020 QuietRub (talk | contribs) uploaded File:Socket AM2 diag.svg (Own work based on "Socket AM2 Design Specification", AMD Publ. #31875, Rev. 3.00, May 2008)
- 18:24, 22 February 2020 QuietRub (talk | contribs) uploaded File:OPGA-940 AM2 diag.svg (Own work based on "Socket AM2 Design Specification", AMD Publ. #31875, Rev. 3.00, May 2008)
- 21:59, 21 February 2020 David (talk | contribs) uploaded a new version of File:ncore block diagram.svg
- 20:05, 21 February 2020 David (talk | contribs) uploaded a new version of File:ncore block diagram.svg
- 19:45, 21 February 2020 David (talk | contribs) uploaded File:cha soc ncore die (logic).png (Centaur Technology {{centtech|CHA|CHA NCORE|l=arch}} die logic portion. Photo by Centaur.)
- 18:46, 21 February 2020 David (talk | contribs) uploaded a new version of File:cha ncore.svg
- 18:34, 21 February 2020 David (talk | contribs) uploaded a new version of File:cha ncore.svg
- 22:50, 16 February 2020 David (talk | contribs) uploaded File:helium vector reg file alias.svg (Arm {{arm|Helium}} vector register file.)
- 19:44, 16 February 2020 David (talk | contribs) uploaded File:cortex-m55 tcm xbar.svg (Arm {{armh|Cortex-M55|l=arch}} TCM xbar diagram by WikiChip.)
- 18:39, 16 February 2020 David (talk | contribs) uploaded File:cortex-m55 general block.png (Arm {{armh|Cortex-M55|l=arch}} block by Arm.)
- 18:22, 16 February 2020 David (talk | contribs) uploaded File:cortex-m55 pipeline.svg (Arm {{armh|Cortex-M55|l=arch}} pipeline by WikiChip.)
- 01:15, 16 February 2020 David (talk | contribs) uploaded File:cortex-m55 block diagram.svg (Arm {{armh|Cortex-M55|l=arch}} block diagram by WikiChip.)
- 06:50, 15 February 2020 David (talk | contribs) uploaded File:wikichip-main-box-cha.jpg
- 01:24, 12 February 2020 David (talk | contribs) uploaded File:ecm3532 block.png (Eta Compute {{eta compute|ECM3532}})
- 23:26, 11 February 2020 David (talk | contribs) uploaded File:etacompute-logo.png (Eta Compute logo.)
- 23:18, 10 February 2020 David (talk | contribs) uploaded File:ethos-n37,57,77 ce config.png (Arm {{armh|Ethos}} N37,57,77 CE configurations.)
- 22:32, 10 February 2020 David (talk | contribs) uploaded File:arm ethos.svg (Arm {{arm|Ethos}})
- 22:39, 9 February 2020 QuietRub (talk | contribs) uploaded File:OPGA-939 pinmap.svg (Own work based on "AMD Functional Data Sheet, 939-Pin Package", AMD Publ. #31411, Rev. 3.03, May 2005)
- 22:38, 9 February 2020 QuietRub (talk | contribs) uploaded File:OPGA-939 lidded D1 diag.svg (Own work based on "AMD Functional Data Sheet, 939-Pin Package", AMD Publ. #31411, Rev. 3.03, May 2005)
- 22:37, 9 February 2020 QuietRub (talk | contribs) uploaded File:OPGA-939.svg (Own work based on "AMD Functional Data Sheet, 939-Pin Package", AMD Publ. #31411, Rev. 3.03, May 2005)
- 19:47, 8 February 2020 David (talk | contribs) uploaded File:rosetta crossbars.png (Cray {{cray|Rosetta|l=arch}} crossbars.)
- 15:39, 8 February 2020 David (talk | contribs) uploaded File:cray slingshot switch.png (Cray {{cray|Slingshot|l=wire}})
- 23:07, 7 February 2020 David (talk | contribs) uploaded File:cray rosetta die plot.jpg (Cray {{cray|Rosetta|l=arch}} die plot.)
- 15:58, 5 February 2020 David (talk | contribs) uploaded a new version of File:mlp compute engine block diagram.svg (arrow correction)
- 15:55, 5 February 2020 David (talk | contribs) uploaded File:mlp block diagram.svg (Arm {{armh|MLP|l=arch}} block diagram by WikiChip.)
- 15:54, 5 February 2020 David (talk | contribs) uploaded File:mlp compute engine block diagram.svg (Arm {{armh|MLP|l=arch}} compute Engine block diagram by WikiChip.)
- 15:51, 5 February 2020 David (talk | contribs) uploaded a new version of File:mlp ple overview.svg
- 15:49, 5 February 2020 David (talk | contribs) uploaded File:mlp ple overview.svg (Arm {{armh|MLA|l=arch}} LPE overview by WikiChip.)
- 14:04, 5 February 2020 David (talk | contribs) uploaded File:mlp compute engine overview.svg ({{armh|MLP|l=arch}} Compute Engine overview by WikiChip.)
- 16:03, 4 February 2020 David (talk | contribs) uploaded File:mlp overview.svg (Arm {{armh|MLP|l=arch} over diagram by WikiChip.)
- 01:15, 2 February 2020 David (talk | contribs) uploaded File:sdm460-brief.pdf (Snapdragon 460 PB.)
- 00:53, 2 February 2020 David (talk | contribs) uploaded File:sdm662-brief.pdf (Snapdragon 662 PB.)
- 00:19, 2 February 2020 David (talk | contribs) uploaded File:sdm720g-brief.pdf (Sanpdragon 720G PB.)
- 23:39, 1 February 2020 David (talk | contribs) uploaded File:sd 720g (front).png (Snapdragon 720G, front.)
- 23:34, 1 February 2020 David (talk | contribs) uploaded File:sd 662 (front).png (Snapdragon 662, front.)
- 23:33, 1 February 2020 David (talk | contribs) uploaded File:sd 460 (front).png (Snapdragon 460, front.)
- 18:43, 1 February 2020 David (talk | contribs) uploaded File:S9200WK-Reference-Design-Guide.pdf.pdf (Intel {{intel|Cascade Lake AP|l=arch}} S9200WK.)
- 12:05, 1 February 2020 David (talk | contribs) uploaded File:knights crest announcement.png (Nervana {{nervana|Knights Crest|l=arch}} announcement.)
- 11:39, 1 February 2020 David (talk | contribs) uploaded File:ai hw summit supermicro ref pod rack.jpeg (Nervana {{nervana|NNP}}-T ref pod rack.)
- 11:37, 1 February 2020 David (talk | contribs) uploaded File:ai hw summit supermicro ref pod.jpeg (Nervana {{nervana|NNP}}-T reference pod.)
- 03:52, 1 February 2020 David (talk | contribs) uploaded File:supermicro nnp-i chassis.jpg (Nervana {{nervana|NNP}}-I chassis by Supermicro.)
- 03:51, 1 February 2020 David (talk | contribs) uploaded File:nnp-i ruler.jpg (Nervana {{nervana|NNP}}-I ruler.)
- 03:16, 1 February 2020 David (talk | contribs) uploaded File:16433-1 NNP-announce NNP-I brief v5.1.pdf (Nervan {{nervana|NNP}}-I PB.)
- 02:28, 1 February 2020 David (talk | contribs) uploaded File:16433-1 NNP-announce NNP-T brief v4.3.pdf (Intel Nervana {{nervana|NNP}}-T PB.)
- 15:02, 30 January 2020 QuietRub (talk | contribs) uploaded a new version of File:K8 Opteron MP topology.svg (Typo.)
- 14:47, 30 January 2020 QuietRub (talk | contribs) uploaded File:CPGA-940 pinmap.svg (Own work based on "AMD Functional Data Sheet, 940 Pin Package", AMD Publ. #31412)
- 14:45, 30 January 2020 QuietRub (talk | contribs) uploaded File:Socket 940 diag.svg (Own work based on "AMD Socket 940 Design Specification", AMD Publ. # 25766)
- 14:43, 30 January 2020 QuietRub (talk | contribs) uploaded File:CPGA-940 diag.svg (Own work based on "AMD Functional Data Sheet, 940 Pin Package", AMD Publ. #31412)
- 14:36, 30 January 2020 QuietRub (talk | contribs) uploaded File:K8 Opteron MP topology.svg (Own work based on Keltcher, Chetana N, et al. "The AMD Opteron Processor for Multiprocessor Servers", IEEE Micro 23(2):66–76, March 2003)
- 13:43, 30 January 2020 QuietRub (talk | contribs) uploaded File:CPGA-940.svg (Own work based on "AMD Functional Data Sheet, 940 Pin Package", AMD Publ. #31412)
- 09:40, 29 January 2020 QuietRub (talk | contribs) uploaded File:OPGA-754 lidded pinmap.svg (Own work based on "AMD Functional Data Sheet, 754 Pin Package", AMD Publ. #31410)
- 09:37, 29 January 2020 QuietRub (talk | contribs) uploaded File:Socket 754 diag.svg (Own work based on "Socket 754 Design and Qualification Requirements", AMD Publ. #24850)
- 09:35, 29 January 2020 QuietRub (talk | contribs) uploaded File:OPGA-754 lidless B3 diag.svg (Own work based on "AMD Functional Data Sheet, 754 Pin Package", AMD Publ. #31410)
- 09:33, 29 January 2020 QuietRub (talk | contribs) uploaded File:OPGA-754 lidless B2 diag.svg (Own work based on "AMD Functional Data Sheet, 754 Pin Package", AMD Publ. #31410)
- 09:27, 29 January 2020 QuietRub (talk | contribs) uploaded File:OPGA-754 lidless B1 diag.svg (Own work based on "AMD Functional Data Sheet, 754 Pin Package", AMD Publ. #31410)
- 09:21, 29 January 2020 QuietRub (talk | contribs) uploaded File:OPGA-754 lidded diag.svg (Own work based on "AMD Functional Data Sheet, 754 Pin Package", AMD Publ. #31410)
- 19:49, 27 January 2020 QuietRub (talk | contribs) uploaded File:OPGA-754.svg (Own work based on "AMD Functional Data Sheet, 754 Pin Package", AMD Publ. #31410)
- 03:30, 24 January 2020 David (talk | contribs) uploaded File:cha cns core die.png (Centaur {{centtech|CHA|l=arch}} CNS core die.)
- 01:54, 24 January 2020 David (talk | contribs) uploaded File:cns mem subsys.svg (WikiChip diagram of Centaur's {{centtech|CHA|l=arch}} CNS memory subsystem.)
- 01:32, 24 January 2020 David (talk | contribs) uploaded File:cns decode.svg (WikiChip diagram of Centaur's {{centtech|CHA|l=arch}} CNS decode.)
- 01:29, 24 January 2020 David (talk | contribs) uploaded File:cns icache.svg (Centaur {{centtech|CHA|l=arch}} CNS instruction cache by diagram WikiChip.)
- 23:35, 23 January 2020 David (talk | contribs) uploaded File:cha soc overview.svg (Centaur {{centtech|CHA|l=arch}} SoC overview by WikiChip.)
- 22:25, 23 January 2020 David (talk | contribs) uploaded File:cha ring.svg (Centaur {{centtech|CHA|l=arch}} ring interconnect diagram by WikiChip.)
- 21:42, 23 January 2020 David (talk | contribs) uploaded a new version of File:cha ncore.svg
- 21:40, 23 January 2020 David (talk | contribs) uploaded File:cha ncore.svg (Centaur {{centtech|CHA|l=arch}} NCORE diagram by WikiChip.)
- 21:37, 23 January 2020 David (talk | contribs) uploaded File:ncore slices.svg (Centaur {{centtech|CHA|l=arch}} diagram of the NCORE by WikiChip.)
- 21:24, 23 January 2020 David (talk | contribs) uploaded a new version of File:ncore block diagram.svg
- 17:04, 23 January 2020 David (talk | contribs) uploaded File:ncore block diagram.svg (Centaur {{centtech|CHA|l=arch}} NCORE block diagram.)
- 14:31, 23 January 2020 David (talk | contribs) uploaded a new version of File:cns block diagram.svg
- 18:17, 22 January 2020 David (talk | contribs) uploaded File:cha soc ncore (2).png (Centaur {{centtech|CHA|l=arch}} ncore.)
- 18:13, 22 January 2020 David (talk | contribs) uploaded File:cha soc ncore.png (Centaur {{centaur|CHA|l=arch}} ncore.)
- 18:13, 22 January 2020 David (talk | contribs) uploaded File:cha core group.png (Centaur {{centaur|CHA|l=arch}} core group.)
- 18:13, 22 January 2020 David (talk | contribs) uploaded File:cha soc.png (Centaur {{centaur|CHA|l=arch}} SoC.)
- 18:12, 22 January 2020 David (talk | contribs) uploaded File:cns block diagram.svg (WikiChip's diagram of Centaur's {{centaur|CNS|l=arch}} core.)
- 18:03, 22 January 2020 David (talk | contribs) uploaded File:cha soc block diagram.svg (WikiChip diagram of the {{centaur|CHA|l=arch}} SoC)
- 01:16, 22 January 2020 David (talk | contribs) uploaded File:mask diagram.svg (photomask diagram by WikiChip.)
- 00:55, 13 January 2020 David (talk | contribs) uploaded File:vanilla-5 (annotated).png (Vanilla-5 core annotated.)
- 00:39, 13 January 2020 David (talk | contribs) uploaded File:vanilla-5 routing.png (Vanilla-5 routing.)
- 00:38, 13 January 2020 David (talk | contribs) uploaded File:vanilla-5 core.png (Vanilla-5 core.)
- 17:39, 2 January 2020 David (talk | contribs) uploaded File:mediatek dimensity 1000 2.jpg (MediaTek Dimensity 1000 infographic.)
- 17:31, 2 January 2020 David (talk | contribs) uploaded File:mediatek dimensity 1000 2.jpg (MediaTek [[Dimensity 1000])
- 17:30, 2 January 2020 David (talk | contribs) uploaded File:MediaTek-Dimensity-1000-inf.pdf (MediaTek [[Dimensity 1000] infographic.)
- 16:32, 2 January 2020 David (talk | contribs) uploaded File:dimensity1000.png (MediaTek Dimensity 1000)
- 17:36, 30 December 2019 QuietRub (talk | contribs) uploaded File:AMD Zen 2 CCD.jpg (Polysilicon layer of a Core Complex Die of an AMD EPYC 7702 ES. Die size 10.32 mm × 7.34 mm, resolution 22160 dpi. Author: Fritzchens Fritz https://www.flickr.com/photos/130561288@N04/49045449908/)
- 07:25, 30 December 2019 David (talk | contribs) uploaded File:n7 overview slide.jpg (TSMC N7 overview slide. Photo by WikiChip.)
- 06:21, 30 December 2019 David (talk | contribs) uploaded a new version of File:7nm densities.svg
- 06:14, 30 December 2019 David (talk | contribs) uploaded File:7nm densities.svg (7 nanometer nodes densities by WikiChip.)
- 04:16, 30 December 2019 David (talk | contribs) uploaded File:855 clock improvement.png (Snapdragon 855 clock improvements)
- 04:13, 30 December 2019 David (talk | contribs) uploaded File:sdm855-clocks.svg (Snapdragon 855 clocks. Diagram by WikiChip.)
- 03:37, 30 December 2019 David (talk | contribs) uploaded File:vlsi-2019-n7p-2nd-gen-perf.png (TSMC N7P perf difference.)
- 02:52, 30 December 2019 David (talk | contribs) uploaded File:sdm855-n7-hd-hp-ieff.png (Snapdragon 855 difference in Ieff HD vs HP 7nm cells. Graph by Qualcomm.)
- 02:39, 30 December 2019 David (talk | contribs) uploaded File:n7 cell height.svg (WikiChip drawing of TSMC N7 cell height.)
- 02:25, 30 December 2019 David (talk | contribs) uploaded File:mss-n7-a12.jpg (TSMC N7 elements of Apple's A12 SoC. Image by MSS Corps.)
- 01:50, 30 December 2019 David (talk | contribs) uploaded File:tsmc-weff-16-10-7.svg (WikiChip plot of TSMC Weff N16, N10, and N7.)
- 17:41, 29 December 2019 David (talk | contribs) uploaded File:qualcomm-snapdragon-865-5g-mobile-platform-product-brief.pdf (Snapdragon 865 PB)
- 16:06, 29 December 2019 David (talk | contribs) uploaded File:qualcomm-snapdragon-865-5g-mobile-platform-chip-front.jpg (Snapdragon 865 front. Photo by Qualcomm.)
- 16:06, 29 December 2019 David (talk | contribs) uploaded File:qualcomm-snapdragon-865-5g-mobile-platform-chip-back.jpg (Snapdragon 865 back. Photo by Qualcomm.)
- 16:04, 29 December 2019 David (talk | contribs) uploaded File:qualcomm-snapdragon-865-5g-mobile-platform-block-diagram.png (Snapdragon 865 block diagram.)
- 15:32, 29 December 2019 David (talk | contribs) uploaded File:sd865 (back).png (Snapdragon 865 back. Photo by Qualcomm.)
- 15:31, 29 December 2019 David (talk | contribs) uploaded File:sd865 (front).png (Snapdragon 865 front. Photo by Qualcomm.)
- 16:18, 28 December 2019 David (talk | contribs) uploaded a new version of File:habana gaudi block diagram.svg
- 15:58, 28 December 2019 David (talk | contribs) uploaded File:habana gaudi pcie.jpg (Habana {{habana|Gaudi|l=arch}} PCIe Card. Photo by WikiChip.)
- 21:55, 23 December 2019 QuietRub (talk | contribs) uploaded File:zen-1-2-hr-lengths.png (Zen branch prediction, stolen from https://fuse.wikichip.org/news/2458/a-look-at-the-amd-zen-2-core)
- 21:53, 23 December 2019 QuietRub (talk | contribs) uploaded File:zen-1-2-tage.png (Zen branch prediction, stolen from https://fuse.wikichip.org/news/2458/a-look-at-the-amd-zen-2-core)
- 21:49, 23 December 2019 QuietRub (talk | contribs) uploaded File:zen-1-2-hp-1.png (Zen branch prediction, stolen from https://fuse.wikichip.org/news/2458/a-look-at-the-amd-zen-2-core)
- 21:38, 23 December 2019 QuietRub (talk | contribs) uploaded File:zen-1-2-ghr.png (Zen branch prediction, stolen from https://fuse.wikichip.org/news/2458/a-look-at-the-amd-zen-2-core)
- 21:25, 23 December 2019 QuietRub (talk | contribs) uploaded a new version of File:flexpoint format.svg (Typo in flex16+5 exponent.)
- 10:14, 20 December 2019 QuietRub (talk | contribs) uploaded a new version of File:zen 2 core diagram.svg (Dispatch outputs macro-ops.)
- 13:54, 15 December 2019 David (talk | contribs) uploaded File:open compute project logo.png (Open Compute Project logo)
- 03:20, 15 December 2019 David (talk | contribs) uploaded File:habana gaudi block diagram.svg (Habana {{habana|Gaudi|l=arch}} block diagram)
- 03:20, 15 December 2019 David (talk | contribs) uploaded File:habana goya block diagram.svg (Habana {{habana|Goya|l=arch}} block diagram)
- 03:02, 15 December 2019 David (talk | contribs) uploaded File:habana hl-100.jpg (Habana {{habana|HL}}-100 card.)
- 02:44, 15 December 2019 David (talk | contribs) uploaded File:habana hls-1.jpg (Habana {{habana|HL}}S-1 system)
- 02:40, 15 December 2019 David (talk | contribs) uploaded File:habana gaudi oam board.JPG (Habana {{habana|HL}} OAM board.)
- 02:32, 15 December 2019 David (talk | contribs) uploaded File:goya card.png (Habana {{habana|Goya|l=arch}} card.)
- 01:32, 15 December 2019 David (talk | contribs) uploaded File:Habana GAUDI.png (Habana {{habana|Gaudi|l=arch}} logo)
- 01:28, 15 December 2019 David (talk | contribs) uploaded File:Habana GOYA.png (Habana {{habana|Goya|l=arch}} logo)
- 00:59, 15 December 2019 David (talk | contribs) uploaded File:habana logo.svg (Habana Labs logo)
- 06:56, 13 December 2019 QuietRub (talk | contribs) uploaded File:zen 2 core diagram.svg (AMD Zen 2 core diagram, own work, based on AMD Publication #56305 Rev 3.00 and slides from the 2nd Gen EPYC launch event, August 7, 2019.)
- 02:05, 13 December 2019 David (talk | contribs) uploaded File:ibm 32-nanometer deep trench capacitors.png (IBM 32-nanometer DTC)
- 09:40, 4 December 2019 David (talk | contribs) uploaded File:sdm730g-brief.pdf (Snapdragon 730G PB.)
- 14:51, 1 December 2019 David (talk | contribs) uploaded File:xeon-scalable-single-socket-battlecard-v1.pdf (Intel {{intel|Cascade Lake|l=arch}} single-socket chips.)
- 09:36, 1 December 2019 David (talk | contribs) uploaded File:sx-aurora numa.svg (NEC {{nec|SX-Aurora|l=arch}} NUMA mode. Diagram by WikiChip.)
- 06:53, 1 December 2019 David (talk | contribs) uploaded File:nec vector engine type 10 passive cooled model.jpg (NEC {{nec|Vector Engine}} Type 10 passive cooled models. Photo by WikiChip.)
- 06:23, 1 December 2019 David (talk | contribs) uploaded File:nec vector engine type 10 air cooled model.jpg (NEC {{nec|Vector Engine}} type 10 air cooled. Photo by WikiChip.)
- 17:35, 30 November 2019 David (talk | contribs) uploaded File:nec ve type 10 (front).jpg (NEC {{nec|Vector Engine}} type 10 front.)
- 16:48, 30 November 2019 David (talk | contribs) uploaded File:nec vector engine type 10 pod 64 units.jpg (NEC {{nec|Vector Engine}} Type 10 pod with 64 cards. Photo by WikiChip.)
- 16:48, 30 November 2019 David (talk | contribs) uploaded File:nec vector engine type 10 water cooled model.jpg (NEC {{nec|Vector Engine}} Type 10 water cooled model. Photo by WikiChip.)
- 16:41, 30 November 2019 David (talk | contribs) uploaded File:nec vector engine roadmap.png (NEC {{nec|Vector Engine}} roadmap Type 10-30.)
- 15:20, 24 November 2019 David (talk | contribs) uploaded File:preferred networks logo.png (Preferred Networks logo.)
- 10:50, 21 November 2019 David (talk | contribs) uploaded File:mongoose 5 block diagram.svg (Samsung {{samsung|M5|l=arch}} core block diagram by WikiChip)
- 15:15, 14 November 2019 Lllkstlll (talk | contribs) uploaded File:Russian octacore processor Elbrus-8S.svg (Original file: https://commons.wikimedia.org/wiki/File:Elbrus-8S.svg)
- 12:54, 13 November 2019 David (talk | contribs) uploaded File:spring crest mezzanine card (front).png (Intel {{intel|Spring Crest|l=arch}} mezzanine card. Photo by Intel.)
- 12:47, 13 November 2019 David (talk | contribs) uploaded File:spring crest package (back).png ({{intel|Spring Crest|l=arch}} package back. Photo by Intel.)
- 12:46, 13 November 2019 David (talk | contribs) uploaded File:spring crest package (front).png ({{intel|Spring Crest|l=arch}} package front. Photo by Intel.)
- 19:34, 12 November 2019 David (talk | contribs) uploaded File:spring hill package (back).png (Intel {{intel|Spring Hill|l=arch}}, back package. Photo by Intel.)
- 19:34, 12 November 2019 David (talk | contribs) uploaded File:spring hill package (front).png (Intel {{intel|Spring Hill|l=arch}}, front package. Photo by Intel.)
- 19:32, 12 November 2019 David (talk | contribs) uploaded File:spring hill m.2 (front).png (Intel {{intel|Spring Hill|l=arch}} M.2 board, front. Photo by Intel.)
- 19:30, 12 November 2019 David (talk | contribs) uploaded File:spring hill m.2 (back).png (Intel {{intel|Spring Hill|l=arch}} M.2 board, back. Photo by Intel.)
- 12:43, 12 November 2019 David (talk | contribs) uploaded a new version of File:latest process.svg
- 12:27, 12 November 2019 David (talk | contribs) uploaded File:wikichip-main-box-spring-crest.jpg
- 20:52, 11 November 2019 David (talk | contribs) uploaded File:amd rome (back).jpg (AMD {{amd|Rome|l=core}}, back. Photo by WikiChip.)
- 20:52, 11 November 2019 David (talk | contribs) uploaded File:amd rome (front).jpg (AMD {{amd|Rome|l=core}}. Photo by WikiChip.)
- 04:29, 11 November 2019 David (talk | contribs) uploaded File:spring crest ocp board (front).png (Intel {{intel|Spring Crest|l=arch}} OCP module, front.)
- 04:29, 11 November 2019 David (talk | contribs) uploaded File:spring crest ocp board (back).png (Intel {{intel|Spring Crest|l=arch}} OCP module, back.)
- 04:04, 11 November 2019 David (talk | contribs) uploaded File:spring crest mesh.svg (Intel {{intel|Spring Crest|l=arch}} mesh.)
- 02:24, 11 November 2019 David (talk | contribs) uploaded File:spring crest pod block diagram.svg (Intel {{intel|Spring Crest|l=arch}} POD block diagram by WikiChip.)
- 11:47, 10 November 2019 Lllkstlll (talk | contribs) uploaded File:Elbrus microprocessor.jpg (Original file: https://ru.wikipedia.org/wiki/%D0%A4%D0%B0%D0%B9%D0%BB:Elbrus2000_microchip.jpg)
- 08:38, 10 November 2019 David (talk | contribs) uploaded a new version of File:spring crest block diagram.svg
- 08:38, 10 November 2019 David (talk | contribs) uploaded a new version of File:spring crest overview.svg
- 05:44, 10 November 2019 Lllkstlll (talk | contribs) uploaded File:Russian quad core processor Elbrus-4S.svg (Original file: https://commons.wikimedia.org/wiki/File:Processor_Elbrus-4S.svg)
- 04:43, 10 November 2019 Lllkstlll (talk | contribs) uploaded File:MCST company logo.png (MCST company logo Original file: https://en.wikipedia.org/wiki/File:MCST_company_logo.png)
- 23:33, 9 November 2019 David (talk | contribs) uploaded a new version of File:spring crest floorplan (annotated).png (wrong res)
- 23:28, 9 November 2019 David (talk | contribs) uploaded File:spring crest floorplan (annotated).png (Intel {{intel|Spring Crest|l=arch}} annotated floorplan.)
- 21:29, 9 November 2019 David (talk | contribs) uploaded File:spring crest overview.svg (Intel {{intel|Spring Crest|l=arch}} overview block by WikiChip)
- 19:13, 9 November 2019 David (talk | contribs) uploaded File:spring crest tpc block diagram.svg (Intel {{intle|Spring Crest|l=arch}} TCP block diagram by WikiChip.)
- 13:43, 9 November 2019 David (talk | contribs) uploaded a new version of File:spring crest block diagram.svg (full details)
- 00:14, 9 November 2019 David (talk | contribs) uploaded File:spring crest floorplan.png (Intel {{intel|Spring Crest|l=arch}} floorplan.)
- 03:18, 28 October 2019 David (talk | contribs) uploaded File:sram 8t 6t.svg (8T SRAM with 6T SRAM cell inside it by WikiChip.)
- 03:17, 28 October 2019 David (talk | contribs) uploaded File:sram 8t basic.svg (8T SRAM basic diagram by WikiChip.)
- 01:11, 28 October 2019 David (talk | contribs) uploaded File:sram 4t basic.svg (4T SRAM diagram by WikiChip.)
- 00:46, 28 October 2019 David (talk | contribs) uploaded File:sram 6t basic.svg (6T SRAM diagram by WikiChip.)
- 00:35, 28 October 2019 David (talk | contribs) uploaded a new version of File:sram basic cell.svg (fixed typo)
- 23:38, 27 October 2019 David (talk | contribs) uploaded File:sram basic cell.svg (basic SRAM cell diagram by WikiChip.)
- 22:43, 27 October 2019 David (talk | contribs) uploaded File:sram basic override.svg (SRAM basic cell overriding value.)
- 22:17, 27 October 2019 David (talk | contribs) uploaded File:sram basic.svg (Basic SRAM diagram with just the xcap-xtors.)
- 15:27, 24 October 2019 David (talk | contribs) uploaded a new version of File:tremont block diagram.svg
- 13:00, 24 October 2019 David (talk | contribs) uploaded File:tremont block diagram.svg (Intel {{intel|Tremont|l=arch}}. Diagram by WikiChip)
- 03:37, 21 October 2019 David (talk | contribs) uploaded File:hector phase3 cabs2.jpg ({{sc|HECToR}} phase 3)
- 03:36, 21 October 2019 David (talk | contribs) uploaded File:hector-2a-xt4.jpg ({{sc|HECToR}} 2a.)
- 03:36, 21 October 2019 David (talk | contribs) uploaded File:hector-2a-cabinets.jpg ({{sc|HECToR}} 2a.)
- 01:35, 21 October 2019 David (talk | contribs) uploaded File:hector phase3.jpg ({{sc|HECToR}})
- 14:30, 20 October 2019 David (talk | contribs) uploaded File:wikichip-main-box-z15.jpg (IBM {{ibm|z15|l=arch}} box.)
- 01:33, 19 October 2019 David (talk | contribs) uploaded File:archer sc.jpg ({{sc|ARCHER}} supercomputer. Photo by EPCC.)
- 01:23, 19 October 2019 David (talk | contribs) uploaded File:archer logo.png ({{sc|ARCHER}} logo)
- 10:58, 16 October 2019 David (talk | contribs) uploaded File:fsd comp 6.jpg (Tesla {{teslacar|FSD Chip}} computer board. Image by WikiChip.)
- 10:58, 16 October 2019 David (talk | contribs) uploaded File:fsd comp 5.jpg (Tesla {{teslacar|FSD Chip}} computer board. Image by WikiChip.)
- 10:58, 16 October 2019 David (talk | contribs) uploaded File:fsd comp 4.jpg (Tesla {{teslacar|FSD Chip}} computer board. Image by WikiChip.)
- 10:58, 16 October 2019 David (talk | contribs) uploaded File:fsd comp 3.jpg (Tesla {{teslacar|FSD Chip}} computer board. Image by WikiChip.)
- 10:58, 16 October 2019 David (talk | contribs) uploaded File:fsd comp 2.jpg (Tesla (car company) {{teslacar|FSD Chip}} computer board. Image by WikiChip.)
- 10:57, 16 October 2019 David (talk | contribs) uploaded File:fsd comp 1.jpg (Tesla (car company) {{teslacar|FSD Chip}} computer board. Image by WikiChip.)
- 01:00, 16 October 2019 David (talk | contribs) uploaded a new version of File:sph dl compute grid.svg
- 00:57, 16 October 2019 David (talk | contribs) uploaded a new version of File:spring hill overview.svg
- 22:42, 15 October 2019 David (talk | contribs) uploaded File:sph batch 4x6.svg (Intel {{intel|Spring Hill|l=arch}} with six applications with batch size of 4. Diagram by WikiChip.)
- 22:42, 15 October 2019 David (talk | contribs) uploaded File:sph batch 1x2.svg (Intel {{intel|Spring Hill|l=arch}} with two applications with batch size of 1. Diagram by WikiChip.)
- 22:24, 15 October 2019 David (talk | contribs) uploaded a new version of File:sph dl compute grid.svg
- 22:12, 15 October 2019 David (talk | contribs) uploaded a new version of File:sph soc.svg
- 22:05, 15 October 2019 David (talk | contribs) uploaded File:sph dl compute grid.svg (Intel {{intel|Spring Hill|l=arch}} DL Compute Grid. Diagram by WikiChip.)
- 22:05, 15 October 2019 David (talk | contribs) uploaded File:sph ice.svg (Intel {{intel|Spring Hill|l=arch}} ICE. Diagram by WikiChip.)
- 22:05, 15 October 2019 David (talk | contribs) uploaded File:sph soc.svg (Intel {{intel|Spring Hill|l=arch}} SoC. Diagram by WikiChip.)
- 22:04, 15 October 2019 David (talk | contribs) uploaded a new version of File:sph soc.svg (Intel {{intel|Spring Hill|l=arch}} SoC. Diagram by WikiChip.)
- 22:03, 15 October 2019 David (talk | contribs) uploaded File:sph soc.svg (Intel {{intel|Spring Hill|l=arch}} SoC. Diagram by WikiChip.)
- 19:11, 15 October 2019 David (talk | contribs) uploaded File:sph board.jpg (Intel {{intel|Spring Hill|l=arch}} board.)
- 19:10, 15 October 2019 David (talk | contribs) uploaded File:spring hill board.JPG (Intel {{intel|Spring Hill|l=arch}} board.)
- 18:47, 15 October 2019 David (talk | contribs) uploaded a new version of File:spring hill overview.svg
- 17:24, 15 October 2019 David (talk | contribs) uploaded File:spring hill overview.svg (Intel {{intel|Spring Hill|l=arch}} overview. Diagram by WikiChip.)
- 14:20, 15 October 2019 David (talk | contribs) uploaded File:exynos 7904 (back).png (Samsung Exynos 7904, back image.)
- 14:19, 15 October 2019 David (talk | contribs) uploaded File:exynos 7904 (front).png (Samsung Exynos 7904, front image.)
- 00:50, 14 October 2019 David (talk | contribs) uploaded a new version of File:5nm densities.svg
- 00:30, 14 October 2019 David (talk | contribs) uploaded a new version of File:5nm densities.svg (correct scale)
- 00:28, 14 October 2019 David (talk | contribs) uploaded a new version of File:5nm densities.svg
- 00:27, 14 October 2019 David (talk | contribs) uploaded a new version of File:5nm densities.svg (title typo...)
- 00:26, 14 October 2019 David (talk | contribs) uploaded File:5nm densities.svg (5 nm node densities. Diagram by WikiChip.)
- 22:27, 13 October 2019 David (talk | contribs) uploaded a new version of File:ss-5nm-cells.svg (corrected CPP on HD cell)
- 22:25, 13 October 2019 David (talk | contribs) uploaded File:ss-5nm-cells.svg (Samsung 5-nanometer 5LPE standard cell libraries. Diagram by WikiChip.)
- 05:06, 13 October 2019 David (talk | contribs) uploaded File:kunpeng roadmap (2019).jpeg (Huawei {{huawei|Kunpeng}} roadmap, 2019.)
- 08:23, 7 October 2019 David (talk | contribs) uploaded File:cascade-lake-w (front).png (Intel {{intel|Cascade Lake W|l=core}})
- 15:01, 3 October 2019 David (talk | contribs) uploaded File:intel-ref-248966-042b.pdf (Intel IA-32 Architecture Optimization Reference Manual 248966, Revision 42b. See {{intel|Documents}}.)
- 00:19, 26 September 2019 David (talk | contribs) uploaded File:hanguang800.jpg (Hanguang 800. Image by Alibaba.)
- 21:06, 14 September 2019 David (talk | contribs) uploaded File:z15 block diagram.svg (IBM {{ibm|z15|l=arch}} block diagram by WikiChip.)
- 21:03, 14 September 2019 David (talk | contribs) uploaded a new version of File:z15 chip block diagram.svg
- 21:01, 14 September 2019 David (talk | contribs) uploaded File:z15 chip block diagram.svg (IBM {{ibm|z15|l=arch} chip block diagram drawn by WikiChip.)
- 19:47, 14 September 2019 David (talk | contribs) uploaded File:z15 sc floorplan.png (IBM {{ibm|z15|z15 system controller}} floorplan. Image by IBM.)
- 19:47, 14 September 2019 David (talk | contribs) uploaded File:z15 cp floorplan.png (IBM {{ibm|z15|z15 central processor}} floorplan. Image by IBM.)
- 19:47, 14 September 2019 David (talk | contribs) uploaded File:z15 core floorplan.png (IBM {{ibm|z15|z15 core}} floorplan. Image by IBM.)
- 12:55, 30 August 2019 David (talk | contribs) uploaded File:cerebras logo.svg (Cerebras logo)
- 00:11, 24 August 2019 David (talk | contribs) uploaded a new version of File:msfp11 encoding format.svg
- 00:09, 24 August 2019 David (talk | contribs) uploaded File:msfp11 encoding format.svg (msfp11)
- 23:58, 23 August 2019 David (talk | contribs) uploaded File:msfp8 encoding format.svg (msfp8)
- 18:39, 10 August 2019 David (talk | contribs) uploaded File:ice lake io subsystem.svg (Intel {{intel|Ice Lake|l=arch}} I/O subsystem. Diagram by WikiChip.)
- 15:14, 10 August 2019 David (talk | contribs) uploaded File:intel type-c ice lake 4p.svg (Type-C configuration in Intel {{intel|Ice Lake|l=arch}} with four ports. Diagram by WikiChip.)
- 15:08, 10 August 2019 David (talk | contribs) uploaded File:intel type-c ice lake.svg (Type-C configuration in Intel {{intel|Ice Lake|l=arch}}. Diagram by WikiChip.)
- 14:44, 10 August 2019 David (talk | contribs) uploaded File:intel type-c old.svg (Type-C configuration prior to Intel {{intel|Ice Lake|l=arch}}. Diagram by WikiChip.)
- 22:08, 7 August 2019 David (talk | contribs) uploaded File:wikichip-main-box-rome.jpg
- 21:12, 6 August 2019 David (talk | contribs) uploaded File:wikichip-main-box-zen2.jpg
- 21:05, 6 August 2019 David (talk | contribs) uploaded File:wikichip-main-box-cfl.jpg
- 13:24, 6 August 2019 David (talk | contribs) uploaded File:epyc naming scheme.svg ({{amd|EPYC}} naming scheme diagram by WikiChip)
- 07:06, 5 August 2019 David (talk | contribs) uploaded File:xeon w naming scheme.svg (Intel {{intel|Xeon W}} naming scheme. Diagram by WikiChip.)
- 00:41, 5 August 2019 David (talk | contribs) uploaded File:cascade-lake-optane-dimm-encryption.png
- 00:24, 5 August 2019 David (talk | contribs) uploaded File:xeon sp naming change.svg
- 00:07, 5 August 2019 David (talk | contribs) uploaded File:cascade-presistence.png (Intel {{intel|Cascade Lake|l=arch}} presistence.)
- 23:31, 4 August 2019 David (talk | contribs) uploaded File:cascade lake ap board.JPG (Intel {{intel|Cascade Lake|l=arch}} {{intel|Cascade Lake AP|AP|l=arch}} board.)
- 23:30, 4 August 2019 David (talk | contribs) uploaded File:intel cascade lake ap chip with heatsink.JPG (Intel {{intel|Cascade Lake|l=arch}} {{intel|Cascade Lake AP|l=core|AP}} with heatsink.)
- 23:29, 4 August 2019 David (talk | contribs) uploaded File:cascade lake chip.JPG (Intel {{intel|Cascade Lake|l=arch}} chip.)
- 22:35, 4 August 2019 David (talk | contribs) uploaded File:wikichip-main-box-clx.jpg
- 22:07, 4 August 2019 David (talk | contribs) uploaded File:puma-arch-challenges.png ({{intel|PUMA}} challenges.)
- 22:06, 4 August 2019 David (talk | contribs) uploaded File:puma-arch-high-level-overview.png ({{intel|PUMA}} high level overview.)
- 00:40, 29 July 2019 David (talk | contribs) uploaded File:t-head logo.png (T-Head)
- 00:21, 29 July 2019 David (talk | contribs) uploaded File:alibaba logo.svg (Alibaba logo)
- 14:29, 23 July 2019 David (talk | contribs) uploaded File:ryzen 3600 (front).png (WikiChip photo of the Ryzen 3600, front. Photo by WikiChip.)
- 14:29, 23 July 2019 David (talk | contribs) uploaded File:ryzen 3600 (back).png (WikiChip photo of the Ryzen 3600, back. Photo by WikiChip.)
- 02:15, 21 July 2019 David (talk | contribs) uploaded File:855p-pb.pdf (Snapdragon 855 Plus product brief.)
- 02:38, 14 July 2019 David (talk | contribs) uploaded File:loihi-pohoiki-springs.png (Intel {{intel|Loihi}} Pohoiki Springs board.)
- 02:34, 14 July 2019 David (talk | contribs) uploaded File:loihi nahuku board.png (Intel {{intel|Loihi}} Nahuku board.)
- 02:31, 14 July 2019 David (talk | contribs) uploaded File:loihi kapoho bay.png (Intel {{intel|Loihi}} Kapoho bay.)
- 01:46, 14 July 2019 David (talk | contribs) uploaded File:loihi (back).png (Intel {{intel|Loihi}}, back.)
- 01:46, 14 July 2019 David (talk | contribs) uploaded File:loihi (front).png (Intel {{intel|Loihi}}, front.)
- 16:43, 8 July 2019 Logan2611 (talk | contribs) uploaded File:Rockchip logo.png (Logo for Rockchip)
- 02:35, 1 July 2019 David (talk | contribs) uploaded File:wikichip-main-box-a77.png
- 02:35, 1 July 2019 David (talk | contribs) uploaded File:wikichip-main-box-n1.png
- 02:05, 1 July 2019 David (talk | contribs) uploaded File:wikichip-main-box-snc.jpg
- 02:03, 1 July 2019 David (talk | contribs) uploaded File:wikichip-main-box-icl.jpg
- 02:01, 1 July 2019 David (talk | contribs) uploaded File:wikichip-main-box-icl.png.jpg
- 01:39, 1 July 2019 David (talk | contribs) uploaded a new version of File:wikichip-main-box-zen2.png
- 01:37, 1 July 2019 David (talk | contribs) uploaded a new version of File:wikichip-main-box-zen2.png
- 01:36, 1 July 2019 David (talk | contribs) uploaded a new version of File:wikichip-main-box-zen2.png
- 01:33, 1 July 2019 David (talk | contribs) uploaded File:wikichip-main-box-zen2.png
- 23:35, 30 June 2019 David (talk | contribs) uploaded a new version of File:latest process.svg (new format)
- 21:27, 28 June 2019 David (talk | contribs) uploaded File:marvell logo.png (Marvell Logo.)
- 06:28, 26 June 2019 David (talk | contribs) uploaded File:mt p65 img.png (Helio P65 image by MediaTek.)
- 09:34, 23 June 2019 David (talk | contribs) uploaded File:k810.jpg (Kirin 810)
- 23:00, 16 June 2019 David (talk | contribs) uploaded File:snapdragon-845-security-pillar-presentation-by-sy-choudhury.pdf (Qualcomm Snapdragon 845 security presentation.)
- 23:00, 16 June 2019 David (talk | contribs) uploaded File:snapdragon-845-performance-pillar-presentation-by-travis-lanier.pdf (Qualcomm Snapdragon 845 performance presentation.)
- 23:00, 16 June 2019 David (talk | contribs) uploaded File:snapdragon-845-connectivity-pillar-presentation-by-peter-carson.pdf (Qualcomm Snapdragon 845 Connectivity presentation.)
- 23:00, 16 June 2019 David (talk | contribs) uploaded File:sdm845pb.pdf (Qualcomm Snapdragon 845 PB.)
- 22:35, 16 June 2019 David (talk | contribs) uploaded File:sdm845 block diagram.jpg (Qualcomm Snapdragon 845 block.)
- 22:22, 16 June 2019 David (talk | contribs) uploaded File:sdm845 (back).png (Qualcomm Snapdragon 845, back. Image by Qualcomm.)
- 22:22, 16 June 2019 David (talk | contribs) uploaded File:sdm845 (front).png (Qualcomm Snapdragon 845, front. Image by Qualcomm.)
- 17:41, 5 June 2019 David (talk | contribs) uploaded File:w-3200-pb.pdf (Intel {{intel|Xeon W}} 3200 PB.)
- 15:35, 5 June 2019 David (talk | contribs) uploaded File:cascade lake w (front).png (Intel {{intel|Cascade Lake W|l=core}} front. Image by Intel.)
- 22:20, 30 May 2019 David (talk | contribs) uploaded a new version of File:ice lake overview.svg
- 08:36, 30 May 2019 David (talk | contribs) uploaded File:cortex-a77 block diagram.svg ({{armh|Cortex-A77|l=arch}} block diagram by WikiChip.)
- 23:07, 29 May 2019 David (talk | contribs) uploaded a new version of File:ice lake die sa (annotated).png
- 22:11, 29 May 2019 David (talk | contribs) uploaded File:ice lake die tb3 io subsystem 2.png (Intel {{intel|Ice Lake (Client)|l=arch}} die Thunderbolt 3 I/O Subsystem.)
- 22:11, 29 May 2019 David (talk | contribs) uploaded File:ice lake die tb3 io subsystem.png (Intel {{intel|Ice Lake (Client)|l=arch}} die Thunderbolt 3 I/O Subsystem.)
- 22:11, 29 May 2019 David (talk | contribs) uploaded File:ice lake die display engine 2.png (Intel {{intel|Ice Lake (Client)|l=arch}} die display engine.)
- 22:11, 29 May 2019 David (talk | contribs) uploaded File:ice lake die display engine.png (Intel {{intel|Ice Lake (Client)|l=arch}} die display engine.)
- 22:10, 29 May 2019 David (talk | contribs) uploaded File:ice lake die ipu 2.png (Intel {{intel|Ice Lake (Client)|l=arch}} die IPU.)
- 22:10, 29 May 2019 David (talk | contribs) uploaded File:ice lake die ipu.png (Intel {{intel|Ice Lake (Client)|l=arch}} die IPU.)
- 21:51, 29 May 2019 David (talk | contribs) uploaded File:ice lake die gpu 2.png (Intel {{intel|Ice Lake (client)|l=arch}} die GPU.)
- 21:40, 29 May 2019 David (talk | contribs) uploaded File:ice lake die core group 2.png (Intel {{intel|Ice Lake|l=arch}} die core group.)
- 21:39, 29 May 2019 David (talk | contribs) uploaded File:ice lake die core 2.png (Intel {{intel|Ice Lake|l=arch}} die core.)
- 17:14, 29 May 2019 David (talk | contribs) uploaded File:ice lake die.png (Intel {{intel|Ice Lake|l=arch}} die shot. Image by Intel.)
- 17:14, 29 May 2019 David (talk | contribs) uploaded File:ice lake pch die.png (Intel {{intel|Ice Lake|l=arch}} PCH die shot. Image by Intel.)
- 08:01, 29 May 2019 David (talk | contribs) uploaded File:ice lake y (back).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Ice Lake Y|l=core}})
- 08:01, 29 May 2019 David (talk | contribs) uploaded File:ice lake u (back).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Ice Lake U|l=core}})
- 07:57, 29 May 2019 David (talk | contribs) uploaded File:ice lake y (front).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Ice Lake U|l=core}})
- 07:57, 29 May 2019 David (talk | contribs) uploaded File:ice lake u (front).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Ice Lake U|l=core}})
- 15:10, 28 May 2019 David (talk | contribs) uploaded File:ice lake overview.svg (Intel {{intel|Ice Lake (Client)|l=arch}} SoC overview.)
- 13:23, 28 May 2019 David (talk | contribs) uploaded File:sunny cove buffer capacities.png (Intel {{intel|Sunny Cove|l=arch}} buffer capacities.)
- 13:09, 28 May 2019 David (talk | contribs) uploaded File:sunny cove block diagram.svg (Intel {{intel|Sunny Cove|l=arch}} block diagram by WikiChip.)
- 00:21, 27 May 2019 David (talk | contribs) uploaded File:cortex-a77 soc block diagram.svg ({{armh|Cortex-A77|l=arch}} SoC Block diagram by WikiChip.)
- 00:19, 27 May 2019 David (talk | contribs) uploaded File:cortex-a77 soc block diagram.png ({{armh|Cortex-A77|l=arch}} SoC block diagram by WikiChip.)
- 00:16, 27 May 2019 David (talk | contribs) uploaded File:cortex-a77 block diagram.svg ({{Armh|Cortex-A77|l=arch}} block diagram by WikiChip.)
- 23:18, 25 May 2019 David (talk | contribs) uploaded File:arm deimos roadmap.png (Arm {{arm|Deimos|l=arch}} roadmap.)
- 18:55, 22 May 2019 Chlamchowder (talk | contribs) uploaded File:K6 block diagram.png
- 15:11, 21 May 2019 David (talk | contribs) uploaded File:intel-2019-investor-meeting-ice-lake-server-cooper-roadmap.png (Intel {{intel|Cooper Lake|l=arch}} and {{intel|Ice Lake (Server)|l=arch}} roadmap.)
- 01:11, 20 May 2019 David (talk | contribs) uploaded File:edge tpu (back).png (Google {{google|Edge TPU}}, back.)
- 01:11, 20 May 2019 David (talk | contribs) uploaded File:edge tpu (front).png (Google {{google|Edge TPU}}, front.)
- 23:14, 19 May 2019 David (talk | contribs) uploaded File:ice lake soc clock domain block diagram.svg (Intel {{intel|Ice Lake (Client)|l=arch}} clock domain block diagram.)
- 17:33, 19 May 2019 David (talk | contribs) uploaded File:cascade lake naming scheme.svg (Intel {{intel|Cascade Lake|l=arch}} naming scheme.)
- 17:18, 19 May 2019 David (talk | contribs) uploaded File:cascade lake ap overview.png (Intel {{intel|Cascade Lake|l=arch}} AP overview.)
- 12:51, 19 May 2019 David (talk | contribs) uploaded File:cascade lake ap block diagram (2-way).svg (Intel {{intel|Cascade Lake|l=arch}} AP block diagram in 2-way.)
- 12:45, 19 May 2019 David (talk | contribs) uploaded File:cascade lake ap package bottom.jpg (Intel {{intel|Cascade Lake|l=arch}} AP package bottom.)
- 12:40, 19 May 2019 David (talk | contribs) uploaded File:cascade lake ap package.png (Intel {{intel|Cascade Lake|l=arch}} AP package.)
- 11:18, 19 May 2019 David (talk | contribs) uploaded File:cascade lake scheduler.svg (Intel {{intel|Cascade Lake|l=arch}} scheduler)
- 10:11, 19 May 2019 David (talk | contribs) uploaded File:intel cascade core changes.png (Intel {{intel|Cascade Lake|l=arch}} core changes.)
- 01:29, 19 May 2019 David (talk | contribs) uploaded File:sunny cove enhancements.jpg (Intel {{intel|Sunny Cove|l=arch}} enancements)
- 01:27, 19 May 2019 David (talk | contribs) uploaded File:skylake - sunny cove changes block.jpg (Intel {{intel|Sunny Cove|l=arch}} block changes.)
- 00:44, 15 May 2019 David (talk | contribs) uploaded File:sdm665-brief.pdf (Qualcomm Snapdragon 665 Brief)
- 00:44, 15 May 2019 David (talk | contribs) uploaded File:sdm660-brief.pdf (Qualcomm Snapdragon 660 Brief)
- 22:41, 14 May 2019 David (talk | contribs) uploaded File:sdm730-brief.pdf (Qualcomm Snapdragon 730 brief)
- 00:33, 13 May 2019 David (talk | contribs) uploaded File:intel lakefield overview.png (Intel {{intel|Lakefield|l=arch}} overview.)
- 22:37, 12 May 2019 David (talk | contribs) uploaded File:intel-foveros emib solution hbm.svg (Intel {{intel|Foveros}} with {{intel|EMIB}} and HBM solution.)
- 22:18, 12 May 2019 David (talk | contribs) uploaded File:intel foveros slide 1.png (Intel {{intel|Foveros}})
- 22:06, 12 May 2019 David (talk | contribs) uploaded File:intel-foveros.svg (Intel {{intel|Foveros}})
- 21:39, 12 May 2019 David (talk | contribs) uploaded File:intel 2019 investor meeting sapphire roadmap.png (Intel {{intel|Sapphire Rapids|l=arch}} roadmap.)
- 21:14, 12 May 2019 David (talk | contribs) uploaded File:intel 2019 investor meeting tiger lake roadmap.png (Intel {{intel|Tiger Lake|l=arch}} roadmap.)
- 20:16, 12 May 2019 David (talk | contribs) uploaded File:ice lake die gpu (annotated).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Gen11|l=arch}} GPU. Image by Intel. Annotated by WikiChip.)
- 20:15, 12 May 2019 David (talk | contribs) uploaded File:ice lake die gpu (annotated).svg (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Gen11|l=arch}} GPU. Image by Intel. Annotated by WikiChip.)
- 20:15, 12 May 2019 David (talk | contribs) uploaded File:ice lake die gpu.png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} {{intel|Gen11|l=arch}} GPU. Image by Intel.)
- 19:33, 12 May 2019 David (talk | contribs) uploaded File:ice lake die core (annotated).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} core. Image by Intel. Annotated by WikiChip.)
- 19:33, 12 May 2019 David (talk | contribs) uploaded File:ice lake die core.png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} core. Image by Intel.)
- 19:33, 12 May 2019 David (talk | contribs) uploaded File:ice lake die core group (annotated).png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} core group. Image by Intel. Annotated by WikiChip.)
- 19:33, 12 May 2019 David (talk | contribs) uploaded File:ice lake die core group.png (Intel {{intel|Ice Lake (client)|Ice Lake|l=arch}} core group. Image by Intel.)
- 19:05, 12 May 2019 David (talk | contribs) uploaded File:ice lake die sa (annotated).png (Intel {{intel|Ice Lake (client)|l=arch}} System Agent. Image by Intel. Annotated by WikiChip.)
- 19:05, 12 May 2019 David (talk | contribs) uploaded File:ice lake die sa.png (Intel {{intel|Ice Lake (client)|l=arch}} System Agent. Image by Intel.)
- 18:52, 12 May 2019 David (talk | contribs) uploaded File:ice lake die (quad core).png (Intel {{intel|Ice Lake (client)|l=arch}} quad-core die by Intel.)
- 18:52, 12 May 2019 David (talk | contribs) uploaded File:ice lake die (quad core) (annotated).png (Intel {{intel|Ice Lake (client)|l=arch}} quad-core die by Intel. Annotated by WikiChip.)
- 18:43, 12 May 2019 David (talk | contribs) uploaded a new version of File:ice lake soc block diagram.svg
- 10:33, 11 May 2019 David (talk | contribs) uploaded File:aurora-sc.jpg ({{sc|Aurora}})
- 11:42, 8 May 2019 Linux4 (talk | contribs) uploaded File:Haswell-EP.jpg
- 11:32, 8 May 2019 David (talk | contribs) uploaded File:skylake-sp fpga overview.jpg (Intel {{intel|Skylake|l=arch}} and FPGA)
- 11:03, 8 May 2019 Linux4 (talk | contribs) uploaded File:E5-2670v3.jpg
- 10:09, 8 May 2019 David (talk | contribs) uploaded File:hislicon kunpeng roadmap to 930.png (HiSilicon {{hislicon|Kunpeng}} 930 roadmap.)
- 16:46, 7 May 2019 David (talk | contribs) uploaded File:cielo-photo.jpg ({{sc|cielo}} photo)
- 16:38, 7 May 2019 David (talk | contribs) uploaded File:cielo sc.png ({{sc|Cielo}} supercomputer.)
- 16:35, 7 May 2019 David (talk | contribs) uploaded File:ats-3 crossroads logo.png ({{sc|ATS-3}} crossroads logo)
- 16:15, 7 May 2019 David (talk | contribs) uploaded File:ats-1 trinity 2015.jpg ({{sc|ATS-1}} 2015)
- 13:03, 7 May 2019 David (talk | contribs) uploaded File:olcf-4 summit logo.png ({{sc|OLCF-4}} logo.)
- 12:22, 7 May 2019 David (talk | contribs) uploaded File:frontier logo.png ({{sc|Frontier}} logo)
- 10:40, 7 May 2019 David (talk | contribs) uploaded File:olcf6 roadmap.png ({{sc|OLCF6}} roadmap.)
- 09:28, 7 May 2019 David (talk | contribs) uploaded File:frontier-system.png ({{sc|OLCF-5}})
- 20:15, 6 May 2019 David (talk | contribs) uploaded File:intel-ref-248966-041.pdf (Intel IA-32 Architecture Optimization Reference Manual 248966, Revision 41. See {{intel|Documents}}.)
- 21:53, 5 May 2019 David (talk | contribs) uploaded File:kunpeng 920 (front).png (Kunpeng 920)
- 21:25, 5 May 2019 David (talk | contribs) uploaded File:hi1620 chipset.png ({{hisilicon|taishan v110}} chipset.)
- 21:06, 5 May 2019 David (talk | contribs) uploaded File:hi1620 overview.png ({{hisilicon|taishan v110}} overview)
- 19:40, 5 May 2019 David (talk | contribs) uploaded File:taishan v110 overview.svg ({{hisilicon|TaiShan v110|l=arch}} overview.)
- 09:03, 3 May 2019 David (talk | contribs) uploaded a new version of File:taishan v110 soc details.svg
- 08:33, 3 May 2019 David (talk | contribs) uploaded File:taishan v110 soc details.svg ({{hisilicon|TaiShan|l=core}} SoC chip details.)
- 08:33, 3 May 2019 David (talk | contribs) uploaded File:taishan v110 soc block diagram.svg ({{hisilicon|TaiShan|l=core}} SoC block diagram.)
- 08:30, 3 May 2019 David (talk | contribs) uploaded a new version of File:Kunpeng 920 4smp.svg
- 08:30, 3 May 2019 David (talk | contribs) uploaded a new version of File:Kunpeng 920 2smp.svg
- 13:33, 2 May 2019 David (talk | contribs) uploaded File:Kunpeng 920 4smp.svg (HiSilicon {{hisilicon|TaiShan|l=arch}} 4-way SMP)
- 13:33, 2 May 2019 David (talk | contribs) uploaded File:Kunpeng 920 2smp.svg (HiSilicon {{hisilicon|TaiShan|l=arch}} 2-way SMP.)
- 13:08, 2 May 2019 David (talk | contribs) uploaded File:taishan soc block diagram.svg ({{hisilicon|TaiShan|l=core}} SoC block diagram.)
- 08:45, 2 May 2019 David (talk | contribs) uploaded a new version of File:cortex-a76 block diagram.svg
- 17:59, 1 May 2019 David (talk | contribs) uploaded File:huawei kunpeng naming scheme.svg (Kunpeng naming scheme.)
- 11:03, 29 April 2019 David (talk | contribs) uploaded File:pentium g3258.png (Intel Pentium G3258)
- 10:20, 29 April 2019 David (talk | contribs) uploaded File:2700x gold box.png (2700X Gold Edition box.)
- 10:08, 29 April 2019 David (talk | contribs) uploaded File:ryzen 7 2700x gold edition.png (Ryzen 7 2700X Gold Edition)
- 23:05, 28 April 2019 David (talk | contribs) uploaded File:mobileye eyeq5 bmw 2021 board.jpg (Mobileye EyeQ5 BMW 2021 board.)
- 22:56, 28 April 2019 David (talk | contribs) uploaded File:eyeq2 (front).jpg (Mobileye EyeQ2)
- 22:48, 28 April 2019 David (talk | contribs) uploaded File:eyeq1 (front).jpg (Mobileye EyeQ1)
- 20:45, 28 April 2019 David (talk | contribs) uploaded File:mobileye older logo.png (Mobileye older logo.)
- 14:37, 28 April 2019 David (talk | contribs) uploaded File:eyeq5 (front).png (Mobileye EyeQ5 SoC, front.)
- 09:40, 28 April 2019 David (talk | contribs) uploaded File:mobileye old logo.jpg (Mobileye old logo.)
- 09:20, 28 April 2019 David (talk | contribs) uploaded File:mobileye logo.svg (Mobileye logo.)
- 20:45, 27 April 2019 David (talk | contribs) uploaded File:tesla logo.svg (Tesla logo.)
- 17:56, 27 April 2019 David (talk | contribs) uploaded a new version of File:tesla fsd block diagram.svg
- 17:42, 27 April 2019 David (talk | contribs) uploaded a new version of File:tesla fsd npu.svg
- 17:27, 27 April 2019 David (talk | contribs) uploaded File:tesla fsd die (cpu).png (Tesla {{tesla|FSD Chip}} CPU clusters.)
- 17:27, 27 April 2019 David (talk | contribs) uploaded File:tesla fsd die (cpu) (annotated).png (Tesla {{tesla|FSD Chip}} CPU clusters.)
- 21:54, 26 April 2019 David (talk | contribs) uploaded a new version of File:tesla fsd chip (front, no ihs).png
- 21:43, 26 April 2019 David (talk | contribs) uploaded File:tesla fsd chip (front, no ihs).png (Tesla {{teslacar|FSD Chip}} no IHS.)
- 20:34, 26 April 2019 David (talk | contribs) uploaded File:tesla fsd npu.svg (Tesla {{teslacar|FSD Chip}} NPU diagram.)
- 18:28, 26 April 2019 David (talk | contribs) uploaded File:tesla fsd block diagram.svg (Tesla {{teslacar|FSD Chip}} block diagram.)
- 18:04, 26 April 2019 David (talk | contribs) uploaded a new version of File:tesla fsd die (npu) (annotated).png