From WikiChip
Editing 5 nm lithography process

Warning: You are not logged in. Your IP address will be publicly visible if you make any edits. If you log in or create an account, your edits will be attributed to your username, along with other benefits.

The edit can be undone. Please check the comparison below to verify that this is what you want to do, and then save the changes below to finish undoing the edit.

This page supports semantic in-text annotations (e.g. "[[Is specified as::World Heritage Site]]") to build structured and queryable content provided by Semantic MediaWiki. For a comprehensive description on how to use annotations or the #ask parser function, please have a look at the getting started, in-text annotation, or inline queries help pages.

Latest revision Your text
Line 1: Line 1:
 
{{lithography processes}}
 
{{lithography processes}}
The '''5 nanometer (5 nm) lithography process''' is a [[technology node]] semiconductor manufacturing process following the [[7 nm lithography process|7 nm process]] node. Commercial [[integrated circuit]] manufacturing using 5 nm process is set to begin sometime around 2020.
+
The '''5 nanometer (5 nm) lithography process''' is a [[technology node]] semiconductor manufacturing process following the [[7 nm lithography process|7 nm process]] node. Commercial [[integrated circuit]] manufacturing using 5 nm process is set to begin sometimes around 2020.
  
 
The term "5 nm" is simply a commercial name for a generation of a certain size and its technology, and '''does not''' represent any geometry of the transistor.
 
The term "5 nm" is simply a commercial name for a generation of a certain size and its technology, and '''does not''' represent any geometry of the transistor.
Line 18: Line 18:
  
 
=== Intel ===
 
=== Intel ===
==== Intel 4 ====
+
==== P1278 ====
Intel 4 process, codenamed '''P1276''', formerly Intel 7-nanometer process, will enter risk production at the end of 2022 and ramp in 2023. On February 8 2017, Intel announced a $7B investment in Arizona's Fab 42 which will eventually produce chips on a 7 nm process. On March 23 2021, Intel announced a $20B investment for two fabs in Arizona, which will produce chips on a 7nm process.
+
Intel's 5-nanometer process node is expected to ramp around the 2023 timeframe.
  
 
=== TSMC ===
 
=== TSMC ===
Line 36: Line 36:
 
! colspan="3" | N5 [[PPA]] vs. [[N7]]
 
! colspan="3" | N5 [[PPA]] vs. [[N7]]
 
|-
 
|-
! Speed @ [[iso-power]] !! Power @ [[iso-speed]] !! Max speed improvement<br>@ Vdd (eLVT)
+
! Speed @ iso-power !! Power @ iso-speed !! Max speed improvement<br>@ Vdd (eLVT)
 
|-
 
|-
 
| ~15% || ~30% || ~25%
 
| ~15% || ~30% || ~25%
 
|}
 
|}
[[File:n5-hmc-fin.jpg|190px|right|thumb|N5 HMC FinFet Device (IEDM 2019)]]
+
[[File:n5-hmc-fin.jpg|200px|right|thumb|N5 HMC FinFet Device (IEDM 2019)]]
 
[[File:n5-channel-stress.png|200px|right|thumb|Diffraction pattern for the fully-strained HMC lattice (IEDM 2019)]]
 
[[File:n5-channel-stress.png|200px|right|thumb|Diffraction pattern for the fully-strained HMC lattice (IEDM 2019)]]
In order to improve the drive current, TSMC introduced a [[high-mobility channel]] (HMC) for its 5-nanometer [[FinFET devices]]. We believe TSMC is employing a SiGe channel for the pMOS devices. It has been suggested that the channel has 37% Ge composition. TSMC says that the HMC delivers 18% performance gain versus equivalent Si finFETs.
+
In order to improve the drive current, TSMC introduced a [[high-mobility channel]] (HMC) for its 5-nanometer [[FinFET devices]]. We believe TSMC is employing a SiGe channel for the pMOS devices. It has been suggested that the channel has 37% Ge composition. TSMC says that the HMC delivers 18% performance gain versus equivalent Si finFETs. A TEM of the full-strained HMC lattice is shown on the right. Despite aggressively tighter pitches, TSMC says metal lines RC and via resistance have been kept relatively similar to N7. TSMC says this was achieved by "using EUV patterning, innovative scaled barrier/liner, ESL/ELK dielectrics, and Cu reflow." The improvements meant the interconnect RC did not worsen relative to N7 as N7 did relative to N16.
  
[[File:N5 mx rc and vx rc.png|right|thumb|200px|Tightest pitch Mx RC and Vx RC on 5nm was kept at similar levels to N7.]]
+
The 5 nm node is expected to deliver a 15% improvement in performance at constant power or a 20% reduction in power at constant performance. In addition to the ultra-LVT (uLVT) that was offered with [[N7]], there is a new extreme-LVT (eLVT) which can push that 15% up to 25% higher speed at Vdd. Additionally, compared to the standard N5 cells, the HP cell variants can push that performance by another 10% at the cost of density.
Despite aggressively tighter pitches, TSMC says metal lines RC and via resistance have been kept relatively similar to N7. TSMC says this was achieved by "using EUV patterning, innovative scaled barrier/liner, ESL/ELK dielectrics, and Cu reflow." The improvements meant the interconnect RC did not worsen relative to N7 as N7 did relative to N16.
 
 
 
The 5 nm node is expected to deliver a 15% improvement in performance at [[iso-power|constant power]] or a 20% reduction in power at [[iso-performance|constant performance]]. In addition to the ultra-LVT (uLVT) that was offered with [[N7]], there is a new extreme-LVT (eLVT) which can push that 15% up to 25% higher speed at Vdd. Additionally, compared to the standard N5 cells, the HP cell variants can push that performance by another 10% at the cost of density.
 
  
 
The N5 node makes use of a number of [[density boosters]] under a marketing term called "smart hyper-scaling features" (similar to Intel). N5 introduces [[single diffusion breaks]] in order to reduce cell spacing. Additionally, TSMC added the ability to drop the gate contact over the active region (COAG). Although originally experimented with at the N7 node, [[via pillars]] are also used extensively in the N5 node. TSMC makes extensive use of [[via pillars]] in N5 due to the three-fold increase of Mx resistance.
 
The N5 node makes use of a number of [[density boosters]] under a marketing term called "smart hyper-scaling features" (similar to Intel). N5 introduces [[single diffusion breaks]] in order to reduce cell spacing. Additionally, TSMC added the ability to drop the gate contact over the active region (COAG). Although originally experimented with at the N7 node, [[via pillars]] are also used extensively in the N5 node. TSMC makes extensive use of [[via pillars]] in N5 due to the three-fold increase of Mx resistance.
 
===== SRAM =====
 
Two [[6T]] [[SRAM]] [[bitcells]] were disclosed by TSMC. The high-performance cell is 0.025 µm² while the high-density cell is 0.021 µm². Assuming a ballpark assist circuit overhead of around 30%, the high-density cells yields an estimate of ~32 Mib/mm² of cache. This an increase of 30% from [[N7]] which is around 24.7 Mib/mm². At ISSCC 2020, TSMC presented a test shuttle with 135 Mib of HD SRAM and additional IPs. Their reported density for the HD cells is similar to our estimates.
 
 
{| class="wikitable collapsible collapsed tc1"
 
|-
 
! colspan="2" | N5 Shuttle Test Chip
 
|-
 
| colspan="2" | [[File:n5 shuttle.jpg|300px]]
 
|-
 
| Technology || 5nm HK-MG FinFET
 
|-
 
| Supply voltage || Core: 0.75V<br>IO: 1.2V
 
|-
 
| Bit cell size || 0.021 μm²
 
|-
 
| SRAM macro configuration || 1024x144 MUX4<br>256 bits/BL,<br>288 bits/WL
 
|-
 
| SRAM capacity || 135Mb
 
|-
 
| Test Features || Column Redundancy<br>Programmable E-fuse
 
|-
 
| [[Die size]] || 10mm x 7.98mm = 79.8mm2
 
|}
 
  
 
==== N5P ====
 
==== N5P ====
As with their 7-nanometer process, TSMC will offer an optimized version of their N5 process called '''N5 Performance-enhanced version''' ('''N5P'''). This process uses the same design rules and is fully IP-compatible with N5. Through FEOL and MOL optimizations, N5P will offer 7% higher performance over N5 at [[iso-power]] or 15% lower power at [[iso-performance]]. Risk production for N5P is expected to start around the fourth quarter of 2020 with volume production starting sometimes in 2021.
+
As with their 7-nanometer process, TSMC will offer an optimized version of their N5 process called '''N5 Performance-enhanced version''' ('''N5P'''). This process uses the same design rules and is fully IP-compatible with N5. Through FEOL and MOL optimizations, N5P will offer 7% higher performance over N5 at iso-power or 15% lower power at iso-performance. Risk production for N5 is expected to start around the second half of 2020 with volume production starting sometimes in 2021.
 
 
{| class="wikitable" style="text-align: center;"
 
|-
 
! colspan="3" | N5P [[PPA]] vs. N5
 
|-
 
! Speed @ [[iso-power]] !! Power @ [[iso-speed]]
 
|-
 
| ~7% || ~15
 
|}
 
  
 
=== Samsung ===
 
=== Samsung ===
Line 165: Line 129:
 
| Metal 1 || 28 nm || 0.70x
 
| Metal 1 || 28 nm || 0.70x
 
|-
 
|-
| Metal 2 || 36 nm || 1.0x
+
| Metal 2 || 36 nm || 0.75x
 
|-
 
|-
| Metal 3 || 32 nm || 0.89x
+
| Metal 3 || 32 nm || 0.88x
 
|-
 
|-
 
| Metal 4 || 44 nm || 1.0x
 
| Metal 4 || 44 nm || 1.0x
Line 175: Line 139:
 
* PEZY
 
* PEZY
 
** {{pezy|PEZY-SC4}}
 
** {{pezy|PEZY-SC4}}
 
* Apple
 
**[[apple/ax/a14|A14 Bionic]]
 
** A15 Bionic
 
**[[apple/mx/m1|M1]]
 
**M1 Pro
 
**M1 Max
 
**M1 Ultra
 
**M2
 
 
* AMD
 
**Zen 4
 
**Navi 3
 
 
* MediaTek
 
** Dimensity 8000
 
** Dimensity 8100
 
** Dimensity 9000
 
 
*Nvidia
 
** Grace Hopper
 
 
*SAMSUNG
 
**Exynos 2100
 
**Exynos 1080
 
**Exynos 1280
 
**Exynos W920
 
 
*Qualcomm
 
**Snapdragon 888
 
**Snapdragon 888+
 
**Snapdragon 780 5g
 
**Snapdragon 4000
 
**Snapdragon 8 Gen 1
 
**Snapdragon 8 Plus Gen 1
 
 
*HiSilicon
 
**Kirin 9000
 
**Kirin 9000E
 
 
{{expand list}}
 
{{expand list}}
  
Line 227: Line 152:
 
* Samsung, Arm TechCon, 2019
 
* Samsung, Arm TechCon, 2019
 
* TSMC, Arm TechCon, 2019
 
* TSMC, Arm TechCon, 2019
* {{bib|iedm|2019|TSMC}}
 
  
 
[[category:lithography]]
 
[[category:lithography]]

Please note that all contributions to WikiChip may be edited, altered, or removed by other contributors. If you do not want your writing to be edited mercilessly, then do not submit it here.
You are also promising us that you wrote this yourself, or copied it from a public domain or similar free resource (see WikiChip:Copyrights for details). Do not submit copyrighted work without permission!

Cancel | Editing help (opens in new window)