From WikiChip
Editing intel/microarchitectures/bonnell

Warning: You are not logged in. Your IP address will be publicly visible if you make any edits. If you log in or create an account, your edits will be attributed to your username, along with other benefits.

The edit can be undone. Please check the comparison below to verify that this is what you want to do, and then save the changes below to finish undoing the edit.

This page supports semantic in-text annotations (e.g. "[[Is specified as::World Heritage Site]]") to build structured and queryable content provided by Semantic MediaWiki. For a comprehensive description on how to use annotations or the #ask parser function, please have a look at the getting started, in-text annotation, or inline queries help pages.

Latest revision Your text
Line 1: Line 1:
 
{{intel title|Bonnell|arch}}
 
{{intel title|Bonnell|arch}}
 
{{microarchitecture
 
{{microarchitecture
|atype=CPU
+
| atype           = CPU
|name=Bonnell
+
| name         = Bonnell
|designer=Intel
+
| designer     = Intel
|manufacturer=Intel
+
| manufacturer = Intel
|introduction=March 2, 2008
+
| introduction = March 2, 2008
|phase-out=2011
+
| phase-out     = 2011
|process=45 nm
+
| process       = 45 nm
|cores=1
+
| cores         = 1
|cores 2=2
+
| cores 2       = 2
|type=Superscalar
+
 
|oooe=No
+
| pipeline      = Yes
|speculative=Yes
+
| type         = Superscalar
|renaming=No
+
| OoOE          = No
|stages min=16
+
| speculative   = No
|stages max=19
+
| renaming     = No
|isa=x86-64
+
| isa          = x86-32
|extension=MOVBE
+
| isa 2        = x86-64
|extension 2=MMX
+
| stages min   = 16
|extension 3=SSE
+
| stages max   = 19
|extension 4=SSE2
+
| issues        = 2
|extension 5=SSE3
+
 
|extension 6=SSSE3
+
| inst          = Yes
|l1i=32 KiB
+
| feature      =  
|l1i per=Core
+
| extension     = MOVBE
|l1i desc=8-way set associative
+
| extension 2   = MMX
|l1d=24 KiB
+
| extension 3   = SSE
|l1d per=Core
+
| extension 4   = SSE2
|l1d desc=6-way set associative
+
| extension 5   = SSE3
|l2=512 KiB
+
| extension 6   = SSSE3
|l2 per=Core
+
 
|l2 desc=8-way set associative
+
| cache        = Yes
|core name=Silverthorne
+
| l1i           = 32 KiB
|core name 2=Diamondville
+
| l1i per       = Core
|core name 3=Lincroft
+
| l1i desc     = 8-way set associative
|core name 4=Pineview
+
| l1d           = 24 KiB
|core name 5=Tunnel Creek
+
| l1d per       = Core
|core name 6=Stellarton
+
| l1d desc     = 6-way set associative
|core name 7=Sodaville
+
| l2           = 512 KiB
|core name 8=Groveland
+
| l2 per       = Core
|successor=Saltwell
+
| l2 desc       = 8-way set associative
|successor link=intel/microarchitectures/saltwell
+
 
|pipeline=Yes
+
| core names      = Yes
|OoOE=No
+
| core name       = Silverthorne
|issues=2
+
| core name 2     = Diamondville
|inst=Yes
+
| core name 3     = Lincroft
|cache=Yes
+
| core name 4     = Pineview
|core names=Yes
+
| core name 5     = Tunnel Creek
|succession=Yes
+
| core name 6     = Stellarton
 +
| core name 7     = Sodaville
 +
| core name 8     = Groveland
 +
 
 +
| succession      = Yes
 +
| predecessor      =
 +
| successor       = Saltwell
 +
| successor link   = intel/microarchitectures/saltwell
 
}}
 
}}
 
'''Bonnell''' was a [[microarchitecture]] for [[Intel]]'s [[45 nm]] ultra-low voltage [[microprocessor]]s first introduced in 2008 for their then-new {{intel|Atom}} family. Bonnell, which was named after the highest point in [[wikipedia:Austin, Texas|Austin]] - [[wikipedia:Mount Bonnell|Mount Bonnell]], was Intel's first x86-compatible [[microarchitecture]] designed to target the ultra-low power market.
 
'''Bonnell''' was a [[microarchitecture]] for [[Intel]]'s [[45 nm]] ultra-low voltage [[microprocessor]]s first introduced in 2008 for their then-new {{intel|Atom}} family. Bonnell, which was named after the highest point in [[wikipedia:Austin, Texas|Austin]] - [[wikipedia:Mount Bonnell|Mount Bonnell]], was Intel's first x86-compatible [[microarchitecture]] designed to target the ultra-low power market.
Line 102: Line 109:
  
 
== Brands ==
 
== Brands ==
Intel sold Bonnell-based processors under the '''{{intel|Atom}}''' brand. Additionally, manufacturers were allowed to use the '''Centrino Atom''' brand if the system consist of a Bonnell-based processor, the chipset, wireless capabilities ([[WiFi]], [[3G]], [[WiMAX]]), is battery powered, and had a screen size of up to 6".
+
Intel sold bonnell-based processors under the '''{{intel|Atom}}''' brand. Additionally, manufacturers were allowed to use the '''Centrino Atom''' brand if the system consist of a bonnell-based processor, the chipset, wireless capabilities ([[WiFi]], [[3G]], [[WiMAX]]), is battery powered, and had a screen size of up to 6".
  
 
{| class="wikitable"
 
{| class="wikitable"
Line 149: Line 156:
 
|}
 
|}
 
{{clear}}
 
{{clear}}
 
== Compatibility ==
 
 
{| class="wikitable"
 
! Vendor !! OS  !! Version !! Notes
 
|-
 
| rowspan="4" | [[Microsoft]] || rowspan="4" | Windows || style="background-color: #d6ffd8;" | Windows XP Embedded SP2 || Support
 
|-
 
| style="background-color: #d6ffd8;" | Windows Embedded CE 6.0 || Support
 
|-
 
| style="background-color: #d6ffd8;" | Windows 7 || Support
 
|-
 
| style="background-color: #d6ffd8;" | Windows Embedded Standard 7 || Support
 
|-
 
| rowspan="2" | Linux || rowspan="2" | Linux || style="background-color: #d6ffd8;" | Kernel 2.4/2.6? || Initial Support
 
|-
 
| style="background-color: #d6ffd8;" | MeeGo 1 || Support
 
|}
 
  
 
== Compiler support ==
 
== Compiler support ==
Line 189: Line 178:
  
 
Performance/Power new rule: +1% performance for at most +1% power consumption.
 
Performance/Power new rule: +1% performance for at most +1% power consumption.
 
In additional to full-[[x86]] compatibility and power requirements, Bonnell was also required to maintain 100% compatibility with Intel's {{intel|Core|l=arch}} architecture (specficially the then-new {{intel|Core 2 Duo}} processors.
 
  
 
=== Architecture ===
 
=== Architecture ===
Line 214: Line 201:
 
* 2 FP ALUs (1 adder, 1 for others)
 
* 2 FP ALUs (1 adder, 1 for others)
 
* No Integer multiplier & divider (shared with FP ALU instead)
 
* No Integer multiplier & divider (shared with FP ALU instead)
 
=== Block Diagram ===
 
[[File:bonnell block diagram.svg]]
 
  
 
=== Memory Hierarchy ===
 
=== Memory Hierarchy ===
Line 269: Line 253:
 
*** Large Pages
 
*** Large Pages
 
**** 8 entries, 4-way set associative
 
**** 8 entries, 4-way set associative
 +
 +
=== Block Diagram ===
 +
[[File:bonnell block diagram.svg]]
  
 
=== Overview ===
 
=== Overview ===
Bonnell's architecture shares very little in common with other Intel designs. To achieve the strict ultra-low power objects, Bonnell features a very slimmed down design discarding many high-performance techniques used by Intel's high-performance architectures such as aggressive [[speculative execution]], [[out-of-order]] execution, and µop transformation.
+
Bonnell's architecture shares very little in common with other Intel designs. To achieve the strict ultra-low power objects, Bonnell features a very slimmed own design discarding many high-performance techniques used by Intel's high-performance architectures such as aggressive [[speculative execution]], [[out-of-order]] execution, and µop transformation.
  
Part of the design requirement was that Bonnell retain full [[x86]] compatibility, up to the latest extension - at one tenth of the power consumption of the {{\\|Pentium M}}. This meant any software is now 100% compatible but it forced engineers to deal with all the baggage the architecture brought along. The decision to offer full compatibility brought its own set of benefits such as access to the largest software code base in the world, including the ability to run any other [[x86]] operating system unmodified. At the same time it forced the design team to resort to other means of reducing power.
+
Part of the design requirement was that Bonnell retain full [[x86]] compatibility, up to the latest extension - at the 10th of the power consumption of the {{\\|Pentium M}}. This meant any software is now 100% compatible but it forced engineers to deal with all the baggage the architecture brought along. The decision to offer full compatibility brought its own set of benefits such as access to the largest software code base in the world, including the ability to run any other [[x86]] operating system unmodified. At the same time it forced the design team to resort to other means of reducing power.  
 
 
Up to Bonnell, all of Intel's existing architectures put very low priority on power efficiency (note that this has significantly changed since the introduction of {{\\|Sandy Bridge}}). High-performance, high-throughput, complex designs are simply inadequate for the kind of power goals required out of Bonnell, even if they were trimmed down. It was decided that Bonnell would be designed from the scratch with power goals in mind. For those reasons Bonnell resembles the {{\\|P5}} microarchitecture.
 
  
 +
Up to Bonnell, all of Intel's existing architectures put very low priority on power efficiency (note that this has significantly changed since the introduction of {{\\|Sandy Bridge}}). High-performance, high-throughput, complex designs are simply inadequate for the kind of power goals required out of Bonnell, even if they were trimmed down. It was decided that Bonnel would be designed from the scratch with power goals in mind. For those reasons Bonnell resembles the {{\\|P5}} microarchitecture.
 
=== Pipeline ===
 
=== Pipeline ===
 
Much like the original {{\\|P5}} microarchitecture, Bonnell consists of an [[in-order]] [[dual-issue]] pipeline. The pipeline is shown below. Note the pipeline is duplicated for dual-issue execution.  
 
Much like the original {{\\|P5}} microarchitecture, Bonnell consists of an [[in-order]] [[dual-issue]] pipeline. The pipeline is shown below. Note the pipeline is duplicated for dual-issue execution.  
Line 284: Line 270:
  
  
Unlike {{\\|P5}}, which only had 5 stages, Bonnell has 16 to 19 pipeline stages. The longer pipeline allows a more even spreading of heat across the chip with more units. This also allows a higher clock rate.  
+
Unlike {{\\|P5}}, which only had 5 stages, Bonnell has 16 to 19 stages pipeline. The longer pipeline allows a more evenly spreading of heat across the chip with more units. This also allows a higher clock rate.  
  
 
==== Front End ====
 
==== Front End ====
Bonnell's front end is very simple when compared to Intel's high-performance architectures. [[Out-of-order execution]] (OoOE) that is found ubiquitously in all HPC architectures was rejected. Bonnell's power and area constraints simply couldn't allow for the complex logic needed to support that capability. The [[Instruction Fetch]] consists of 3 stages, capable of going through up to 16 bytes per cycle. Like fetch, the [[Instruction Decode]] is also 3 stages, capable of decording instructions with up to 3 prefixes each cycle (considerably longer for more complex instructions).
+
Bonnell's front end is very simple when compared to Intel's high-performance architectures. [[Out-of-order execution]] (OoOE) that is found ubiquitously in all HPC architectures was rejected. Bonnell's power and area constraints simply couldn't allow for the complex logic needed to support that capability. The [[Instruction Fetch]] consists of 3 stages capable going through up to 16 bytes per cycle. Like fetch, the [[Instruction Decode]] is also 3 stages capable of decording instructions with up to 3 prefixes each cycle (considerably longer for more complex instructions).
  
 
Bonnell is a departure from all modern x86 architectures with respect to decoding (including those developed by [[AMD]] and [[VIA]] and every Intel architecture since {{\\|P6}}). Whereas modern architectures transform complex [[x86]] instructions into a more easily digestible µop form, Bonnell does almost no such transformations. The pipeline is tailored to execute regular x86 instructions as single atomic operations consisting of a single destination register and up to three source-registers (typical load-operate-store format). Most instructions actually correspond very closely to the original x86 instructions. This design choice results in lower complexity but at the cost of performance reduction. Bonnell has two identical decoders capable of decoding complex x86 instructions. Being variable length instruction architecture introduces an additional layer of complexity. To assist the decoders, Bonnell implements predecoders that determine instruction boundaries and mark them using a single-bit marker. Two cycles are allocated for predecoding as well as L1 storage. Boundary marks are also stored in the L1 eliminating the need to preform needlessly redundant predecoding. Repeated operations are retrieved pre-marked eliminating two cycles. Bonnel has a 36 KiB L1 instruction cache consisting of 32 KiB instruction cache and 4 KiB instruction boundary mark cache. All instructions (coming from both cache or predecode) must undergo full decode. It's worthwhile noting that Intel states Bonnell is a 16-stage pipeline because for the most part, after a cache hit you'll have 16 stages. This is also true in some cases where the processor can simultaneously decode the next instruction. However, in the cases where you get a miss, it will cost 3 additional stages to catch up and locate the boundary for that instruction for a total of 19 stages.
 
Bonnell is a departure from all modern x86 architectures with respect to decoding (including those developed by [[AMD]] and [[VIA]] and every Intel architecture since {{\\|P6}}). Whereas modern architectures transform complex [[x86]] instructions into a more easily digestible µop form, Bonnell does almost no such transformations. The pipeline is tailored to execute regular x86 instructions as single atomic operations consisting of a single destination register and up to three source-registers (typical load-operate-store format). Most instructions actually correspond very closely to the original x86 instructions. This design choice results in lower complexity but at the cost of performance reduction. Bonnell has two identical decoders capable of decoding complex x86 instructions. Being variable length instruction architecture introduces an additional layer of complexity. To assist the decoders, Bonnell implements predecoders that determine instruction boundaries and mark them using a single-bit marker. Two cycles are allocated for predecoding as well as L1 storage. Boundary marks are also stored in the L1 eliminating the need to preform needlessly redundant predecoding. Repeated operations are retrieved pre-marked eliminating two cycles. Bonnel has a 36 KiB L1 instruction cache consisting of 32 KiB instruction cache and 4 KiB instruction boundary mark cache. All instructions (coming from both cache or predecode) must undergo full decode. It's worthwhile noting that Intel states Bonnell is a 16-stage pipeline because for the most part, after a cache hit you'll have 16 stages. This is also true in some cases where the processor can simultaneously decode the next instruction. However, in the cases where you get a miss, it will cost 3 additional stages to catch up and locate the boundary for that instruction for a total of 19 stages.
Line 375: Line 361:
 
=== Modularity ===
 
=== Modularity ===
 
Bonnell is a highly modular architecture with almost all features disableable via built-in fuses allowing for many [[binning]] variation. Both virtualization support (VT-x/d) and {{intel|Hyper-Threading}} may be disabled to cut on power. Bonnell implements both AGTL+ and CMOS transceiver logic for the [[front-side bus]] signaling with either one capable of being fused off. CMOS signaling allows for lower power but cannot reach the high bug speeds that AGTL+ can. This may or maybe not be a restriction that system designers might face.
 
Bonnell is a highly modular architecture with almost all features disableable via built-in fuses allowing for many [[binning]] variation. Both virtualization support (VT-x/d) and {{intel|Hyper-Threading}} may be disabled to cut on power. Bonnell implements both AGTL+ and CMOS transceiver logic for the [[front-side bus]] signaling with either one capable of being fused off. CMOS signaling allows for lower power but cannot reach the high bug speeds that AGTL+ can. This may or maybe not be a restriction that system designers might face.
 
== Second Generation Enhancements ==
 
[[File:lincroft goals.png|left|200px]]
 
[[File:bonnell system board size goals.png|right|300px]]
 
With the introduction of {{intel|Lincroft|l=core}}, Intel has made substantial improvements the overall platform. The {{intel|Silverthorne|l=core}}-based systems had a great core in terms of power and performance, but they were drugged behind when was combined with far less efficient chipset and system design. These deficiencies were addressed in the second generation of Bonnell-based models.
 
 
The first variant was {{intel|Lincroft|l=core}} which set out to reduce the original system standby power of 1.6 W down to 32 mW (a 50x reduction) while reducing the overall board size by 2x. To achieve those goals Intel turned to higher integration, moving [[integrated graphics|Graphics]], CPU core, Video Acceleration, [[Display Controller]], and [[Memory Controller]] all in a single [[system on a chip]]. Those components were previously incorporated on the [[130 nm process]] chipset. This leaves the {{intel|Langwell|l=chipset}} chipset with just the low-power [[southbridge]] functionalities. The new chipset is also manufactured on a considerably better [[65 nm process]]
 
 
=== Performance Features ===
 
To address the higher performance goals, Intel introduced a number of new features into Lincroft including '''Bus Turbo Mode''' and '''Burst Mode'''.
 
 
==== Clock Domains ====
 
Each of {{intel|Lincroft|l=core}}'s multimedia engines are assigned a specific clock ratios and using a farm of clock dividers and clock selectors the appropriate clocks get generated to the individual multimedia engines. The complex clocking architecture implemented in Lincroft was designed to allow greater flexibility and a wider range of devices. This is done by simply tweaking the appropriate ratios for each engine based on the desired performance and power goals.
 
 
[[File:lincroft clock domains.png|600px]]
 
 
==== Bus Turbo Mode & Burst Mode ====
 
Intel also introduced '''Burst Turbo Mode''', a feature designed to reduce memory latency by dynamically increasing bus frequencies in sync with CPU bursts. At pre-defined CPU frequencies, the bus gets dynamically overclocked to reduce the bottlenecking that might occur. This is implemented directly in hardware using the [[clock dividers]] (see [[#Clock Domains|§ Clock Domains]]) without the need to re-clock the PLLs.
 
 
Another feature that was introduced was '''Burst Mode''', the ability for the CPU to opportunistically take advantage of the thermal headroom on the T<sub>junction</sub> and T<sub>skin</sub> by temporarily increasing the CPU frequency. Upon violation of T<sub>junction</sub>/T<sub>skin</sub>, the system throttles down back to recovery points (LFM [[c-state]]).
 
 
=== Low-power features ===
 
In order to further reduce power Intel introduced a number of new features:
 
 
* Low power architecture features
 
** [[MIPI-DSI]]
 
** [[LP-DDR1]]
 
** Integrated Hardware accelerators for Video Encode/Code
 
* Enhanced Geyserville for ULFM
 
* Extended CPU Power [[C-States]]
 
* Distributed [[Power Gating]]
 
 
==== Enhanced Geyserville (eGVL) ====
 
[[File:lincroft new egvl mode.png|right|200px]]
 
'''Enhanced Geyserville''' is a new mode that allows the CPU to run below LFM at V<sub>min</sub>. This enables linear saving of average power during instances where the CPU is idle while in C0 [[C-State]] (cV²F, note that leakage is mostly a constant due to V=V<sub>min</sub> the entire time). Equivalent, the bus frequency is also down-clocked at predefined frequencies (see [[#Bus Turbo Mode & Burst Mode|§ Bus Turbo Mode]]). The additional ultra low-power mode is exposed as a [[P-State]] to the [[operating system]].
 
 
Below is the C-State chart with the additional Ultra-low LFM state added, enabling further decrease in average power consumption.
 
 
[[File:lincroft extended c-states.png|400px]]
 
 
==== Extensive power-gating ====
 
Lincroft introduces an extensive system of power-gating. The entire SoC is divided up into multiple physical power islands. Each island can be individually controlled through a distributed power-gating system. Lincroft allows for a fine-grained management of power through both hardware and software to be able to disable areas of the chip that are not being actively utilized.
 
 
 
<div style="display: inline-block;">
 
<div style="float: left; margin: 10px;">[[File:lincroft all off.png|300px]]</div>
 
<div style="float: left; margin: 10px;">[[File:lincroft all on.png|300px]]</div>
 
</div>
 
  
 
== Die ==
 
== Die ==
Line 507: Line 445:
  
 
== Cores ==
 
== Cores ==
Bonnell has lived through a number of iterations unlike the mainstream variants which followed a {{intel|tick-tock|far more ambitious development cycle}}. Products based on Bonnell can more or less be split into two generations:
+
=== First Generation===
 
+
First generation of Bonnell-based microprocessors introduced 2 cores: '''{{intel|Silverthorne|l=core}}''' for ultra-mobile PCs and mobile Internet devices (MIDs) and '''{{intel|Diamondville}}''' for ultra cheap notebooks and desktops.
* '''First Generation''' - initial Bonnell processor models. Those relied on a number of external chipset chips for the I/O, graphics, and various other system features.
+
==== Silverthorne ====
* '''Second Generation''' - considerably higher integration was introduced. The original CPU was not incorporated along with many of its peripheral on a single chip to create a [[System on a Chip]].
+
{{main|intel/cores/silverthorne|l1=Silverthorne}}
 
+
'''Silverthorne''' was the codename for a series of Mobile Internet Devices (MIDs) introduced in 2008. These processors had 1 core and 2 threads with a FSB operating at 400 MHz-533 MHz.
=== First generation ===
+
==== Diamondville ====
First generation of Bonnell-based microprocessors introduced 2 cores: '''{{intel|Silverthorne|l=core}}''' for ultra-mobile PCs and mobile Internet devices (MIDs) and '''{{intel|Diamondville}}''' for ultra cheap notebooks and desktops.  
+
{{main|intel/diamondville|l1=Diamondville}}
 
+
'''Diamondville''' was the codename for the series of ultra cheap notebooks and desktops introduced in 2008. Diamondville is very much a soldered-on-motherboard derivative of {{intel|Silverthorne|l=core}} with faster FSB (operating at 533 MHz - 667 MHz). The dual-core version is an MCM (Multi Chip Module) Silverthorne variant.
* '''{{intel|Silverthorne|l=core}}''' was the codename for a series of Mobile Internet Devices (MIDs) introduced in 2008. These processors had 1 core and 2 threads with a FSB operating at 400 MHz-533 MHz. Those models were branded as {{intel|Atom}} MIDs and went along with the {{intel|poulsbo|l=chipset}} chipset.
 
* '''{{intel|Diamondville|l=core}}''' was the codename for the series of ultra cheap notebooks and desktops introduced in 2008. Diamondville is very much a soldered-on-motherboard derivative of {{intel|Silverthorne|l=core}} with faster FSB (operating at 533 MHz - 667 MHz). The dual-core version is a [[Multi Chip Module]] (MCM) Silverthorne variant operating on the same [[FSB]].
 
 
 
 
=== Second Generation ===
 
=== Second Generation ===
 
First generation of Bonnell-based microprocessors while being low power had to work with the older [[90 nm process]] {{intel|945GSE}} chipset and {{intel|82801GBM}} I/O controller with a TDP of almost 9.5 watts - almost 4 times that of the processor itself. Second generation Bonnell-based microprocessors aimed to address this issue by integrating a memory controller and GPU on-chip. This drastically reduced power consumption and cost.
 
First generation of Bonnell-based microprocessors while being low power had to work with the older [[90 nm process]] {{intel|945GSE}} chipset and {{intel|82801GBM}} I/O controller with a TDP of almost 9.5 watts - almost 4 times that of the processor itself. Second generation Bonnell-based microprocessors aimed to address this issue by integrating a memory controller and GPU on-chip. This drastically reduced power consumption and cost.
 
+
==== Lincroft ====
* '''{{intel|Lincroft|l=core}}''' is the codename for Bonnell-based Silverthorne's successor. Lincroft integrates on-die the graphics and memory controller. Lincroft effectively replaces the original Silverthorne offering 2x reduction in average circuit board size and up to 50x standby power reduction vs Menlow equivalent. Lincroft also introduces a 2x reduction in the overall active power consumption of the system.
+
{{main|intel/lincroft|l1=Lincroft}}
 
+
'''Lincroft''' is the codename for Bonnell-based Silverthorne's successor. Lincroft integrates on-die the graphics and memory controller.
 
==== Pineview ====
 
==== Pineview ====
 
{{main|intel/pineview|l1=Pineview}}
 
{{main|intel/pineview|l1=Pineview}}
Line 548: Line 483:
 
           Missing a chip? please dump its name here: http://en.wikichip.org/wiki/WikiChip:wanted_chips
 
           Missing a chip? please dump its name here: http://en.wikichip.org/wiki/WikiChip:wanted_chips
 
-->
 
-->
{{comp table start}}
+
<table class="wikitable sortable">
<table class="comptable sortable tc13 tc14 tc15 tc16 tc17 tc18 tc19 tc20 tc21 tc22">
+
<tr><th colspan="11" style="background:#D6D6FF;">Bonnell Chips</th></tr>
<tr class="comptable-header"><th>&nbsp;</th><th colspan="20">List of Bonnell-based Processors</th></tr>
+
<tr><th colspan="8">CPU</th><th colspan="3">IGP</th></tr>
<tr class="comptable-header"><th>&nbsp;</th><th colspan="9">Main processor</th><th colspan="2">Bus</th><th colspan="2">[[IGP]]</th><th colspan="4">Features</th></tr>
+
<tr><th>Model</th><th>µarch</th><th>Platform</th><th>Core</th><th>Launched</th><th>SDP</th><th>Freq</th><th>Max Mem</th><th>Name</th><th>Freq</th><th>Max Freq</th></tr>
{{comp table header 1|cols=Price, Core, Launched, C, T, Freq, Burst, TDP, SDP, Speed, Rate, Name, Frequency, Package, {{intel|Hyper-Threading|HT}}, VT-x, {{intel|EIST}}}}
+
{{#ask: [[Category:microprocessor models by intel]] [[microarchitecture::Bonnell]]
{{#ask: [[Category:microprocessor models by intel]] [[instance of::microprocessor]] [[microarchitecture::Bonnell]]
 
 
  |?full page name
 
  |?full page name
 
  |?model number
 
  |?model number
  |?release price
+
  |?microarchitecture
 +
|?platform
 
  |?core name
 
  |?core name
 
  |?first launched
 
  |?first launched
  |?core count
+
  |?sdp
|?thread count
+
  |?base frequency
  |?base frequency#GHz
+
  |?max memory
|?turbo frequency (1 core)#GHz
 
|?tdp#mW
 
|?sdp#mW
 
|?bus speed
 
  |?bus rate
 
 
  |?integrated gpu
 
  |?integrated gpu
 
  |?integrated gpu base frequency
 
  |?integrated gpu base frequency
  |?package
+
  |?integrated gpu max frequency
|?has simultaneous multithreading
 
|?has intel vt-x technology
 
|?has intel enhanced speedstep technology
 
 
  |format=template
 
  |format=template
  |template=proc table 3
+
  |template=proc table 2
  |userparam=19:17
+
  |userparam=12
 
  |mainlabel=-
 
  |mainlabel=-
 
}}
 
}}
{{comp table count|ask=[[Category:microprocessor models by intel]] [[instance of::microprocessor]] [[microarchitecture::Bonnell]]}}
 
 
</table>
 
</table>
{{comp table end}}
 
  
 
== Documents ==
 
== Documents ==
 
* [[:File:Menlow Platform.pdf|Menlow Platform]] presentation
 
* [[:File:Menlow Platform.pdf|Menlow Platform]] presentation
* [[:File:nettops 2008 platform.pdf|Nettops 2008 platform]]
 
  
 
== Artwork ==
 
== Artwork ==
Line 608: Line 532:
 
* Wang, Perry H., et al. "Intel® atom™ processor core made FPGA-synthesizable." Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays. ACM, 2009.
 
* Wang, Perry H., et al. "Intel® atom™ processor core made FPGA-synthesizable." Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays. ACM, 2009.
 
* Corporation, Intel. "Intel 64 and IA-32 architectures optimization reference manual." (2009).
 
* Corporation, Intel. "Intel 64 and IA-32 architectures optimization reference manual." (2009).
* Beavers, Brad. "The story behind the Intel Atom processor success." IEEE Design & Test of Computers 26.2 (2009).
 
 
== See also ==
 
* Marvell's {{marvell|Sheeva PJ1|l=arch}}
 
* ARM's {{arm|ARM11|l=arch}}
 

Please note that all contributions to WikiChip may be edited, altered, or removed by other contributors. If you do not want your writing to be edited mercilessly, then do not submit it here.
You are also promising us that you wrote this yourself, or copied it from a public domain or similar free resource (see WikiChip:Copyrights for details). Do not submit copyrighted work without permission!

Cancel | Editing help (opens in new window)