From WikiChip
Editing arm holdings/microarchitectures/cortex-a78

Warning: You are not logged in. Your IP address will be publicly visible if you make any edits. If you log in or create an account, your edits will be attributed to your username, along with other benefits.

The edit can be undone. Please check the comparison below to verify that this is what you want to do, and then save the changes below to finish undoing the edit.

This page supports semantic in-text annotations (e.g. "[[Is specified as::World Heritage Site]]") to build structured and queryable content provided by Semantic MediaWiki. For a comprehensive description on how to use annotations or the #ask parser function, please have a look at the getting started, in-text annotation, or inline queries help pages.

Latest revision Your text
Line 84: Line 84:
 
* Front-end
 
* Front-end
 
** [[Branch-prediction]]
 
** [[Branch-prediction]]
*** 2x bandwidth (2 taken branches/cycle, up from 1)
+
** 2x bandwidth (2 taken branches/cycle, up from 1)
 
*** Improved accuracy
 
*** Improved accuracy
 
*** Predictor structures were optimize for better power/area
 
*** Predictor structures were optimize for better power/area
Line 164: Line 164:
 
** STLB
 
** STLB
 
*** 1280-entry 5-way set associative
 
*** 1280-entry 5-way set associative
 
=== Supported Instructions ===
 
* ARMv8
 
** {{arm|A64}}, {{arm|A32}}, and {{arm|T32}}
 
** Everything up to Armv8.2-A
 
** Reliability, Availability, and, Serviceability (RAS) extension
 
** Statistical Profiling Extension (SPE)
 
** Load acquire (LDAPR) instructions extension (from {{arm|Armv8.3-A}})
 
** Dot Product instructions extension (from {{arm|Armv8.4-A}})
 
** Traps for EL0 and EL1 cache controls
 
** PSTATE Speculative Store Bypass Safe (SSBS) bit
 
** speculation barriers (CSDB, SSBB, PSSBB) instructions extension (from {{arm|Armv8.5‑A}})
 
  
 
== Performance claims ==
 
== Performance claims ==
Line 211: Line 199:
  
 
== Overview ==
 
== Overview ==
The Cortex-A78, formerly Hercules, is a high-performance [[synthesizable core]] designed by [[Arm]] as the successor to the {{\\|Cortex-A77}}. It is delivered as Register Transfer Level (RTL) description in Verilog and is designed to be integrated into customer's SoCs. This core supports the {{arm|ARMv8.2}} extension as well as a number of other partial extensions including the {{arm|RAS}}, {{arm|statistical profiling extension|SPE}}, {{arm|LDAPR}}, and {{arm|Dot Product}} extensions.
+
The Cortex-A78, formerly Hercules, is a high-performance [[synthesizable core]] designed by [[Arm]] as the successor to the {{\\|Cortex-A77}}. It is delivered as Register Transfer Level (RTL) description in Verilog and is designed to be integrated into customer's SoCs. This core supports the {{arm|ARMv8.2}} extension as well as a number of other partial extensions.
  
The Cortex-A78 is built on the extensive design work that was done on the {{\\|A76}} and {{\\|A77}} but enhances it in order to improve its power efficiency. Arm says that both the performance-efficiency and area-efficiency of the core was improved over {{\\|Deimos}}. To that end, Arm reports about a 20% sustained performance improvement over {{\\|Deimos}} gained through both architectural improvements and transistor improvements due to the migration from the [[N7|7-nanometer node]] to the [[N5|5-nanometer node]]
+
The Cortex-A78 is built on the extensive design work that was done on the {{\\|A76}} and {{\\|A77}} but enhances it in order to improve its power efficiency. Arm says that both the performance-efficiency and area-efficiency of the core was improved over {{\\|Deimos}}. To that end, Arm reports about a 20% performance improvement over {{\\|Deimos}} gained through both architectural improvements and transistor improvements due to the migration from the [[N7|7-nanometer node]] to the [[N5|5-nanometer node]]
  
The A78 is a 6-way (predecoded) 4-way (decode) [[superscalar]] [[out-of-order]] processor with a 12-wide execution engine, a private level 1, and level 2 caches. It is designed to be implemented inside the [[DynamIQ Shared Unit]] (DSU) cluster along with other cores. The DSU cluster supports up to [[eight cores]] of any combination (e.g., with [[little cores]] such as the {{\\|Cortex-A55}} or other just more Cortex-A78s). Additionally, this core may also be combined with the {{\\|Cortex-X1}} in order to achieve higher single-thread.
+
The A78 is a 6-way [[superscalar]] [[out-of-order]] processor with a 12-wide execution engine, a private level 1, and level 2 caches. It is designed to be implemented inside the [[DynamIQ Shared Unit]] (DSU) cluster along with other cores. The DSU cluster supports up to [[eight cores]] of any combination (e.g., with [[little cores]] such as the {{\\|Cortex-A55}} or other just more Cortex-A78s). Additionally, this core may also be combined with the {{\\|Cortex-X1}} in order to achieve higher single-thread.
  
 
=== DSU Cluster ===
 
=== DSU Cluster ===
The Cortex-A78 is designed to be integrated into a [[DynamIQ Shared Unit]] (DSU) cluster with up to [[eight cores]]. Up to four Cortex-A78s may be clustered together. The cluster may also inclde up to four additional [[little cores]] such as the {{\\|Cortex-A55}} in a [[big.LITTLE]] configuration. Additionally, one or more of the A78 cores [[arm_holdings/microarchitectures/cortex-x1#DSU Cluster|may be swapped out]] for a {{\\|Cortex-X1}} core in order to achieve even higher performance. Compared to a quad-core {{\\|Cortex-A77|A77}} cluster on [[N7|7 nm]], a quad-core A78 cluster on [[N5|5 nm]] provides +20% sustained performance improvement while reducing the silicon area by about 15%.
+
The Cortex-A78 is designed to be integrated into a [[DynamIQ Shared Unit]] (DSU) cluster with up to [[eight cores]] of any combination (e.g., with [[little cores]] such as the {{\\|Cortex-A55}} or other just more Cortex-A78s). Compared to a quad-core {{\\|Cortex-A77|A77}} cluster on [[N7|7 nm]], a quad-core {{\\|Cortex-A78|A78}} cluster on [[N5|5 nm]] provides +20% sustained performance improvement while reducing the silicon area by about 15%. Additionally, one or more of the A78 cores [[arm_holdings/microarchitectures/cortex-x1#DSU Cluster|may be swapped out]] for a {{\\|Cortex-X1}} core in order to  achieve even higher performance.
  
 
== Core ==
 
== Core ==
Line 251: Line 239:
  
 
===== Memory subsystem =====
 
===== Memory subsystem =====
The memory subsystem was improved on the A78. Whereas the {{\\|A77}} had two generic [[address-generation unit]] - each capable of supporting both loads and stores, Hercules adds a new dedicated load AGU unit, increasing the load bandwidth by 50%. In other words, the Cortex-A78 is capable of performing either a load or a store on 2 ports (any combination, e.g., LD+ST or ST+ST) and another load on a third port. Along with those changes, Arm doubled the store-data bandwidth from 16B/cycle to 32B/cycle.
+
The memory subsystem was improved on the A78. Whereas the {{\\|A77}} had two generic [[address-generation unit]] - each capable of supporting both loads and stores, Hercules adds a new deducted load AGU unit, including the load bandwidth by 50%. In other words, the Cortex-A78 is capable of performing either a loads or a store on 2 ports (any combination, e.g., LD+ST or ST+ST) and another load on a third port. Along with those changes, Arm doubled the store-data bandwidth from 16B/cycle to 32B/cycle.
  
 
Like the instruction cache, the [[level 1 data cache]] on Hercules was also made configurable, allowing for either 32 KiB or 64 KiB and with an optional ECC protection per 32 bits. It is [[virtually indexed, physically tagged]] which behaves as a [[physically indexed, physically tagged]] 4-way set-associative cache. The L1D cache implements a [[pseudo-LRU]] [[cache replacement]] policy. It features a 4-cycle fastest load-to-use latency with two read ports and one write port meaning it can do two 16B loads/cycle and one 32B store/cycle. From the L1, the A78 supported up to 20 outstanding non-prefetch misses. Previously, the {{\\|A77}} had an 85-entry load buffer and a 90-entry store buffer. Arm says the functionality of those two buffers is now distributed across several structures. Hercules improved the data prefetchers. Arm says Hercules introduced a number of new prefetch engines, covering some new stride patterns and new irregular access patterns.
 
Like the instruction cache, the [[level 1 data cache]] on Hercules was also made configurable, allowing for either 32 KiB or 64 KiB and with an optional ECC protection per 32 bits. It is [[virtually indexed, physically tagged]] which behaves as a [[physically indexed, physically tagged]] 4-way set-associative cache. The L1D cache implements a [[pseudo-LRU]] [[cache replacement]] policy. It features a 4-cycle fastest load-to-use latency with two read ports and one write port meaning it can do two 16B loads/cycle and one 32B store/cycle. From the L1, the A78 supported up to 20 outstanding non-prefetch misses. Previously, the {{\\|A77}} had an 85-entry load buffer and a 90-entry store buffer. Arm says the functionality of those two buffers is now distributed across several structures. Hercules improved the data prefetchers. Arm says Hercules introduced a number of new prefetch engines, covering some new stride patterns and new irregular access patterns.

Please note that all contributions to WikiChip may be edited, altered, or removed by other contributors. If you do not want your writing to be edited mercilessly, then do not submit it here.
You are also promising us that you wrote this yourself, or copied it from a public domain or similar free resource (see WikiChip:Copyrights for details). Do not submit copyrighted work without permission!

Cancel | Editing help (opens in new window)

This page is a member of 1 hidden category:

codenameCortex-A78 +
core count1 +, 2 +, 4 +, 6 + and 8 +
designerARM Holdings +
first launchedMay 26, 2020 +
full page namearm holdings/microarchitectures/cortex-a78 +
instance ofmicroarchitecture +
instruction set architectureARMv8.2 +
manufacturerTSMC +
microarchitecture typeCPU +
nameCortex-A78 +
pipeline stages13 +
process10 nm (0.01 μm, 1.0e-5 mm) +, 7 nm (0.007 μm, 7.0e-6 mm) + and 5 nm (0.005 μm, 5.0e-6 mm) +