From WikiChip
Editing 7 nm lithography process

Warning: You are not logged in. Your IP address will be publicly visible if you make any edits. If you log in or create an account, your edits will be attributed to your username, along with other benefits.

The edit can be undone. Please check the comparison below to verify that this is what you want to do, and then save the changes below to finish undoing the edit.

This page supports semantic in-text annotations (e.g. "[[Is specified as::World Heritage Site]]") to build structured and queryable content provided by Semantic MediaWiki. For a comprehensive description on how to use annotations or the #ask parser function, please have a look at the getting started, in-text annotation, or inline queries help pages.

Latest revision Your text
Line 1: Line 1:
 
{{lithography processes}}
 
{{lithography processes}}
The '''7 nanometer (7 nm) lithography process''' is a [[technology node]] semiconductor manufacturing process following the [[10 nm lithography process|10 nm process]] node. Mass production of [[integrated circuit]] fabricated using a 7 nm process began in 2018. The process technology will be phased out by leading-edge foundries by 2020/21 timeframe where it will be replaced by the [[5 nm node]].
+
The '''7 nanometer (7 nm) lithography process''' is a [[technology node]] semiconductor manufacturing process following the [[10 nm lithography process|10 nm process]] node. Mass production of [[integrated circuit]] fabricated using a 7 nm process begun in 2018. The process technology will be phased out by leading-edge foundries by 2020/21 timeframe where it will be replaced by the [[5 nm node]].
  
 
The term "7 nm" is simply a commercial name for a generation of a certain size and its technology, and '''does not''' represent any geometry of the transistor.
 
The term "7 nm" is simply a commercial name for a generation of a certain size and its technology, and '''does not''' represent any geometry of the transistor.
  
 
== Overview ==
 
== Overview ==
First introduced by the major foundries around the [[2018]]-19 timeframe, the 7-nanometer [[process technology]] is characterized by its use of [[FinFET]] transistors with fin pitches in the 30s of nanometer and densest metal pitches in the upper 30s or low 50s of nanometers. Due to the small feature sizes, [[quad patterning]] had to be utilized for some layers. This process was introduced just as [[EUV Lithography]] became ready for mass production, therefore some foundries utilized EUV while others didn't.  
+
First introduced by the major foundries around the [[2018]]-19 timeframe, the 7-nanometer [[process technology]] is characterized by its use of [[FinFET]] transistors with fin pitches in the 30s of nanometer and densest metal pitches in the upper 30s or low 40s of nanometers. Due to the small feature sizes, [[quad patterning]] had to be utilized for some layers. This process was introduced just as [[EUV Lithography]] became ready for mass production, therefore some foundries utilized EUV while others didn't. Note that Intel [[10 nm process]] is comparable to the foundry 7-nanometer node.
  
 
=== Density ===
 
=== Density ===
Line 13: Line 13:
  
 
== Industry ==
 
== Industry ==
now four companies are currently planning or developing a 7-nanometer node: [[Intel]], [[TSMC]], [[Samsung]] and [[SMIC]].
+
Only three companies are currently planning or developing a 5-nanometer node: [[Intel]], [[TSMC]], and [[Samsung]].
  
 
{{node comp|node=7 nm}}
 
{{node comp|node=7 nm}}
  
 
=== Intel ===
 
=== Intel ===
==== Intel 7 ====
+
==== P1276 ====
===== Intel 7 Ultra =====
+
Intel's 7-nanometer process, '''P1276''', will enter risk production at the end of 2020 and ramp in 2021. On February 8 2017 Intel announced a $7B investment in Arizona's Fab 42 which will eventually produce chips on a 7 nm process.
[[File:raptor-lake-v-f-curve-improvements.png|thumb|right|New V-F Curve for the Enhanced Intel 7 process.]]
+
 
Intel introduced an '''enhanced version of the Intel 7 process''' in late 2022 with the introduction of the company's 13th Generation Core processors based on the {{intel|Raptor Lake|l=arch}} microarchitecture. Nicknamed '''"Intel 7 Ultra"''' internally, the new process is a full PDK update over the one used by Alder Lake, their 3rd generation SuperFin Transistor architecture. Intel says this process brings transistors with significantly better channel mobility. At the very high end of the V-F curve, the company says peak frequency is nearly 1 GHz higher now. The curve itself has been improved, shifting prior-generation frequencies by around 200 MHz at ISO-voltage, or alternatively, reducing the voltage by over 50 mV at ISO-frequency.
+
Intel has not disclosed the details of the process but the company's current CEO claims it will feature a density that is 2x that of Intel's 10-nanometer node. Intel's prior CEO, Brian Krzanich, mentioned that 7-nanometer will have "2.4x the compaction ratio" of 10 nm. This puts the 7-nanometer node at around 202-250 [[transistors per square millimeter]].
  
 
=== TSMC ===
 
=== TSMC ===
Line 91: Line 91:
 
Different multi-Vt devices were developed for this process with a Vt range of around 200 mV.
 
Different multi-Vt devices were developed for this process with a Vt range of around 200 mV.
  
===== Std Cells =====
+
TSMC 7-nanometer comes in two variations - low power and high performance. Those [[standard cell|cells]] are 240 nm and 300 nm tall respectively.
TSMC 7-nanometer (N7 and N7P are the same with this regard) comes in two variations - high density and high performance. Those [[standard cell|cells]] are 240 nm and 300 nm tall respectively. Prior to full production ramp, TSMC originally had a 9T HP variant that relied on a 57-nm CPP. That library was eventually obsoleted in favor of a 64-nm CPP 7.5T library which is now used in mass production by various companies. Note that the 7.5T and 9T are similar in power and performance. Some early designs that started out with a 9T library continued to use it regardless.
 
  
 
<table class="wikitable" style="text-align: center;">
 
<table class="wikitable" style="text-align: center;">
<tr><th>Type</th><th>High Density</th><th colspan="2">High Performance</th></tr>
+
<tr><th>Type</th><th>Low Power</th><th>High Performance</th></tr>
<tr><th>Name</th><td>H240 HD</td><td>H300 HP</td><td style="text-decoration:line-through">H360 HP</td></tr>
+
<tr><th>Fin Pitch</th><td colspan="2">30 nm</td></tr>
<tr><th>Fin Pitch</th><td colspan="3">30 nm</td></tr>
+
<tr><th>Metal</th><td colspan="2">40 nm (smallest pitch used with DP)<br>76 nm (smallest pitch used with SP)</td></tr>
<tr><th>Metal</th><td colspan="3">40 nm (smallest pitch used with DP)<br>76 nm (smallest pitch used with SP)</td></tr>
+
<tr><th>Gate Pitch</th><td>57 nm</td><td>64 nm</td></tr>
<tr><th>Gate Pitch</th><td>57 nm</td><td>64 nm</td><td style="text-decoration:line-through">57 nm</td></tr>
+
<tr><th>Height</th><td>240 nm<br>8-fin x 30 nm</td><td>300 nm<br>10-fin x 30 nm</td></tr>
<tr><th>Height</th><td>240 nm<br>8-fin x 30 nm</td><td>300 nm<br>10-fin x 30 nm</td><td style="text-decoration:line-through">360 nm<br>12-fin x 30 nm</td></tr>
+
<tr><th>Tracks</th><td>6 T</td><td>7.5 T</td></tr>
<tr><th>Tracks</th><td>6 T</td><td>7.5 T</td><td style="text-decoration:line-through">9 T</td></tr>
 
 
</table>
 
</table>
  
Line 111: Line 109:
  
 
==== N7P ====
 
==== N7P ====
[[File:vlsi-2019-n7p-2nd-gen-perf.png|200px|thumb|right|N7P (2nd Gen) vs N7 (1st Gen) improvements. (VLSI 2019)]]
+
[[File:vlsi-2019-n7p-2nd-gen-perf.png|thumb|right|N7P (2nd Gen) vs N7 (1st Gen) improvements. (VLSI 2019)]]
 
In 2019 TSMC introduced a 2nd-generation N7 process called '''N7 Performance-enhanced''' ('''N7P'''). N7P is an optimized version of TSMC [[#N7|N7]] process. to that end, it remains a [[DUV]]-based process, keeping the same design rules and is fully IP-compatible with N7. N7P introduces [[FEOL]] and [[MOL]] optimizations which are said to translate to either 7% performance improvement at iso-power or up to 10% lower power at iso-speed.
 
In 2019 TSMC introduced a 2nd-generation N7 process called '''N7 Performance-enhanced''' ('''N7P'''). N7P is an optimized version of TSMC [[#N7|N7]] process. to that end, it remains a [[DUV]]-based process, keeping the same design rules and is fully IP-compatible with N7. N7P introduces [[FEOL]] and [[MOL]] optimizations which are said to translate to either 7% performance improvement at iso-power or up to 10% lower power at iso-speed.
  
Line 128: Line 126:
  
 
==== N7+ ====
 
==== N7+ ====
The '''N7+ node''' is TSMC's first process technology to adopt [[EUV lithography]]. It is unrelated to both the N7 and N7P processes, and is not IP-compatible with either, requiring re-implementation (new physical layout and validation). N7+ entered mass production in the second quarter of 2019 and uses EUV for four critical layers. Compared to TSMC N7 process, N7+ is said to deliver around 1.2x density improvement. N7+ is also said to deliver 10% higher performance at iso-power or, alternatively, up to 15% lower power at iso-performance. On paper, N7+ appears to be marginally better than N7P, albeit that comes at the cost of re-implementing the design.
+
The '''N7+ node''' is TSMC's first process technology to adopt [[EUV lithography]]. It is unrelated to N7 nor N7P and is not IP-compatible with either, requiring re-implementation (new physical layout and validation). N7+ entered mass production in the second quarter of 2019 and uses EUV for four critical layers. Compared to TSMC N7 process, N7+ is said to deliver around 1.2x density improvement. N7+ is also said to deliver 10% higher performance at iso-power or, alternatively, up to 15% lower power at iso-performance. On paper, N7+ appears to be marginally better than N7P, albeit that comes at the cost of re-implementing the design.
  
 
{| class="wikitable" style="text-align: center;"
 
{| class="wikitable" style="text-align: center;"
Line 161: Line 159:
 
* MediaTek
 
* MediaTek
 
** {{mediatek|helio|Helio M70}}
 
** {{mediatek|helio|Helio M70}}
**DImensity 1000
 
**Dimensity 800U
 
**Dimensity 1000+
 
**Dimensity 800
 
 
* Apple
 
* Apple
 
** {{apple|A12}}
 
** {{apple|A12}}
Line 170: Line 164:
 
** {{apple|A13}}
 
** {{apple|A13}}
 
* HiSilicon (Huawei)
 
* HiSilicon (Huawei)
** {{hisilicon|kirin|990 4G/5G}}
+
** {{hisilicon|kirin|990}}
 
** {{hisilicon|kirin|980}}
 
** {{hisilicon|kirin|980}}
 
** {{hisilicon|kirin|810}}
 
** {{hisilicon|kirin|810}}
 
* Snapdragon (Qualcomm)
 
* Snapdragon (Qualcomm)
**Snapdragon 765G
 
 
** {{qualcomm|snapdragon 855|855}}
 
** {{qualcomm|snapdragon 855|855}}
** {{qualcomm|snapdragon 865|865}}
 
** {{qualcomm|snapdragon 870|870}}
 
** {{qualcomm|Snapdragon 865+}}
 
* Exynos (Samsung)
 
** {{samsung|exynos 990|990}}
 
** {{samsung|exynos 9825|9825}}
 
 
{{expand list}}
 
{{expand list}}
  

Please note that all contributions to WikiChip may be edited, altered, or removed by other contributors. If you do not want your writing to be edited mercilessly, then do not submit it here.
You are also promising us that you wrote this yourself, or copied it from a public domain or similar free resource (see WikiChip:Copyrights for details). Do not submit copyrighted work without permission!

Cancel | Editing help (opens in new window)