From WikiChip
Difference between revisions of "350 nm lithography process"

(Industry)
(350 nm Microprocessors)
Line 68: Line 68:
 
** {{decc|Alpha 21164A}}
 
** {{decc|Alpha 21164A}}
 
** {{decc|Alpha 21264}}
 
** {{decc|Alpha 21264}}
 +
** {{decc|StrongARM}}
 
* HAL
 
* HAL
 
** {{hal|SPARC64 II}}
 
** {{hal|SPARC64 II}}

Revision as of 15:30, 29 May 2017

The 350 nanometer (350 nm) lithography process is a full node semiconductor manufacturing process following the 500 nm process node. Commercial integrated circuit manufacturing using 350 nm process began in late 1995. 350 nm was phased out and replaced by 250 nm in 1999.

Industry

Fab
Process Name​
1st Production​
Voltage​
Metal Layers​
 ​
Contacted Gate Pitch​
Interconnect Pitch (M1P)​
SRAM bit cell
Intel IBM AMD AMD DEC Fujitsu IDT NEC TI Motorola Hitachi
P854 CS-34 CS-34EX CMOS-6 CS-60 HiPerMOS 2
1994 1994 1995 1995 1996 1996 1995 1997 1996
3.3 V
4 5 5 5 6 5 3 4 5
Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ Value 500 nm Δ
550 nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x
880 nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x  ? nm  ?x
18.1 µm² 0.41x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x  ? µm²  ?x 21.67 µm²  ?x  ? µm²  ?x

Design Rules

350 nm Microprocessors

This list is incomplete; you can help by expanding it.

350 nm Microcontrollers

This list is incomplete; you can help by expanding it.

350 nm Microarchitectures

This list is incomplete; you can help by expanding it.

References

  • Schutz, J., and R. Wallace. "A 450 MHz IA32 P6 family microprocessor." Solid-State Circuits Conference, 1998. Digest of Technical Papers. 1998 IEEE International. IEEE, 1998.