From WikiChip
130 nm lithography process
Revision as of 21:08, 24 April 2016 by David (talk | contribs) (130 nm Microprocessors)

The 130 nm lithography process is a full node semiconductor manufacturing process following the 150 nm process stopgap. Commercial integrated circuit manufacturing using 130 nm process began in 2001. This technology was replaced by with 110 nm process (HN) in 2003 and 90 nm process (FN) in 2004.

Industry

Fab
Process Name​
1st Production​
Type​
 ​
Contacted Gate Pitch​
Interconnect Pitch (M1P)​
SRAM bit cell
Intel TSMC Samsung Fujitsu IBM
P860 CS-91
2001 2001 2001 2002 2001
Bulk PDSOI
Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ Value 180 nm Δ
319 nm 0.66x 310 nm  ?x 350 nm  ?x  ? nm  ?x 350 nm  ?x
345 nm 0.69x 340 nm  ?x 350 nm  ?x  ? nm  ?x  ? nm  ?x
2.0 µm2 0.36x  ? µm2  ?x  ? µm2  ?x 1.98 µm2 0.47x 1.8 µm2  ?x

Design Rules

130 nm Microprocessors

This list is incomplete; you can help by expanding it.

130 nm Microarchitectures

This list is incomplete; you can help by expanding it.